4位數(shù)加法計算器的設計—電子線路實現(xiàn)訓練_第1頁
4位數(shù)加法計算器的設計—電子線路實現(xiàn)訓練_第2頁
4位數(shù)加法計算器的設計—電子線路實現(xiàn)訓練_第3頁
4位數(shù)加法計算器的設計—電子線路實現(xiàn)訓練_第4頁
4位數(shù)加法計算器的設計—電子線路實現(xiàn)訓練_第5頁
已閱讀5頁,還剩25頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、四川師范大學成都學院4位數(shù)加法計算器的設計電子線路實現(xiàn)訓練學生姓名學 號所 在 系通信系專業(yè)名稱通信工程班 級2009級通信工程2班指導教師四川師范大學成都學院二一一年六月目 錄一、設計任務1二、方案與論證1(一) 控制器的選擇1(二)顯示器的選擇2三、系統(tǒng)硬件設計3(一)系統(tǒng)總框圖3(二)原理圖設計3四、系統(tǒng)軟件設計5五、總結(jié)與展望5六、參考文獻6附錄一:系統(tǒng)總電路7附錄二:系統(tǒng)功能測試8四則運算如圖:8時鐘顯示如圖:(創(chuàng)新部分)8附錄三:系統(tǒng)pcb圖9附錄四:源代碼104位數(shù)加法計算器的設計電子線路實現(xiàn)訓練一、設計任務n 系統(tǒng)通過44的矩陣鍵盤輸入數(shù)字及運算符。n 可以進行4位十進制數(shù)以內(nèi)

2、的加法運算,如果計算結(jié)果超過4位十進制數(shù),則屏幕顯示e n 可以進行加法以外的計算(乘、除、減)。n 其他功能(時鐘顯示)n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。二、方案與論證(一) 控制器的選擇控制器主要用于各模塊控制對顯示、計算等??刂破鞯倪x擇有以下三種方案。方案1: 8位at89s51at89s51是atmel公司生產(chǎn),該單片機算術運算功能強,軟件編程靈活、自由度大,可以用軟件編程實現(xiàn)各種算法和邏輯控制,并且由于其功耗低、體積小、技術成熟和成本低等優(yōu)點,使其在各個領域應用廣泛。方案2: 采用fpga(現(xiàn)場可編程門列陣)作為系統(tǒng)的控制器。fpga采用并行的輸入輸出方式,提高了

3、系統(tǒng)的處理速度,適合作為大規(guī)模實時系統(tǒng)的控制核心。但由于本設計對數(shù)據(jù)處理的速度要求不高,fpga的高速處理的優(yōu)勢得不到充分體現(xiàn),并且由于其集成度高,使其成本偏高,同時由于芯片的引腳較多,實物硬件電路板布線復雜,加重了電路設計和實際焊接的工作。方案3: 32位lm3s615lm3s615采用為小型嵌入式應用方案而優(yōu)化的32位armcortextm-m3 v7m結(jié)構(gòu),可兼容thumb的thumb-2專用指令集處理器內(nèi)核,可提高代碼密度,50-mhz操作。但其成本太高,故在本系統(tǒng)中不宜采用。綜上述:控制器方案1。 (二)顯示器的選擇在本計算器系統(tǒng)控制過程中,系統(tǒng)需要對運行的結(jié)果做必要的顯示。我們考慮

4、有以下三種顯示方案。方案1:lcd液晶屏液晶顯示屏(lcd)具有輕薄短小、低耗電量、無輻射危險,平面直角顯示以及影像穩(wěn)定不閃爍等優(yōu)勢,可視面積大,畫面效果好,分辨率高,抗干擾能力強的特點。但由于只需要顯示時間和轉(zhuǎn)向、相數(shù)這樣的數(shù)字,信息量比較少,且由于液晶是以點陣的模式顯示各種符號,需要利用控制芯片創(chuàng)建字符庫,編程工作量大,控制器的資源占用較多,其成本也偏高。在使用時,不能有靜電干擾,否則易燒壞液晶顯示芯片,不易維護。方案2: led數(shù)碼管數(shù)碼管具有:低能耗、低損耗、低壓、壽命長、耐老化、防曬、防潮、防火、防高(低)溫,對外界環(huán)境要求低,易于維護,同時其精度高,稱量快,精確可靠,操作簡單。數(shù)碼

5、顯示是采用bcd編碼顯示數(shù)字,程序編譯容易,資源占用較少。方案3:led點陣模塊led就是light emitting diode ,發(fā)光二極管的英文縮寫,簡稱led。它是一種通過控制半導體發(fā)光二極管的顯示方式,用來顯示文字、圖形、圖像、動畫、行情、視頻、錄像信號等各種信息的顯示屏幕。但成本過高,功能過強大,故在此系統(tǒng)中不宜采用led點陣。綜上述:顯示器選擇方案2。三、系統(tǒng)硬件設計(一)系統(tǒng)總框圖圖1 系統(tǒng)總框圖(二)原理圖設計 電源部分:單片機與ttl電平是兼容的,因此單片機的供電電源一般為+5v。 單片機的電源部分電路直接將40腳接vcc,20腳接gnd就可以了。9v的電壓通過整流橋后變成

6、脈動直流電,再經(jīng)過濾波電路后減小脈動,lm7805用于輸出電壓為恒定的5v直流電壓。圖2 直流穩(wěn)壓電源鍵盤部分:44矩陣鍵盤識別處理,每個按鍵有它的行值和列值,行值和列值的組合就是識別這個按鍵的編碼。矩陣的行線和列線分別通過兩并行接口和cpu通信。每個按鍵的狀態(tài)同樣需變成數(shù)字量“0”和“1”,開關的一端(列線)通過電阻接vcc,而接地是通過程序輸出數(shù)字“0”實現(xiàn)的,鍵盤處理程序的任務是:確定有無鍵按下,判斷哪一個鍵按下,鍵的功能是什么;還要消除按鍵在閉合或斷開時的抖動。圖3 44矩陣鍵盤led數(shù)碼管顯示部分:下圖是七段數(shù)碼管顯示器及其引腳圖每個引腳上加限流電阻,在本系統(tǒng)中采用共陽極。圖4 le

7、d數(shù)碼管顯示器四、系統(tǒng)軟件設計圖5 總流程圖五、總結(jié)與展望課程設計剛開始,拿著選定的題目不知如何入手。畢竟課程設計不同于實驗課,電路圖都要自己設計。靜下心來,仔細分析題目,再加上指導老師的說明與提示,心中才有了譜。將整個系統(tǒng)根據(jù)不同的功能化分成模塊,再分別進行設計,逐個攻破,最后再將其整合即可。最后調(diào)試階段,哪怕一個小小的錯誤也會使結(jié)果出不來。結(jié)果終于出來了,又發(fā)現(xiàn)有的地方還應改進。通過這次課程設計,使我受益頗多。既鞏固了課堂上學到的理論知識,又掌握了常用集成電路芯片的使用。在此基礎上學習了數(shù)字系統(tǒng)設計的基本思想和方法,學會了科學地分析實際問題,通過查資料、分析資料及請教老師和同學等多種途徑,

8、獨立解決問題。同時,也培養(yǎng)了我認真嚴謹?shù)墓ぷ髯黠L。六、參考文獻1李群芳,張士軍,黃建.單片微型計算機與接口技術(第3版).電子工業(yè)出版 社,2010.2戴佳,戴衛(wèi)恒.51單片機c語言應用程序設計實例精講.電子工業(yè)出版社,2006.3 徐愛鈞,彭秀華.單片機高級語言c51應用程序設計.北京:電子工業(yè)出版社,1997.4 孫育才.mcs-51系列單片微型計算機及其應用(第三版).南京:東南大學出版社,1997.5 李剛,林凌,姜葦.51系列單片機系統(tǒng)設計與應用技巧.北京:北京航天航空大學出版社.6王曉君.安國臣等.mcs51及兼容單片機原理與選型.北京-電子工業(yè)出版社,2003.附錄一:系統(tǒng)總電路

9、圖6 系統(tǒng)總電路附錄二:系統(tǒng)功能測試四則運算如圖:圖7 運算界面時鐘顯示如圖:(創(chuàng)新部分)圖8 時鐘界面附錄三:系統(tǒng)pcb圖圖9 系統(tǒng)pcb圖附錄四:源代碼#include#include#define uchar unsigned char#define uint unsigned int#define key p1uchar code dutable= /段位編碼0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e;uchar code wetable= /位選碼0x01,0x02,0

10、x04,0x08;ucharw,num,ss,s1,wei1,wei, str1=0,0,0,0,0,str2=0,0,0,0;char shi=0,fen=0,miao=0,flag=0,t0=0;long temp,temp1,str=16,16,16,16,16;void shuju();void delay(uint z)/延時函數(shù)(單位ms) uchar i; uint j; for(j=z;j0;j-) for(i=114;i0;i-); void init() /定時器t0初始化程序 tmod=0x01; /t0工作于方式1 th0=(65536-10000)/256;/設定初值

11、定時10ms tl0=(65536-10000)%256; et0=1 ;/打開t0開關 ea=1; /打開總開關 tr0=1; /啟動t0 void timer0() interrupt 1 /t0中斷函數(shù) th0=(65536-10000)/256; tl0=(65536-10000)%256; t0+; /中斷次數(shù) void shaomiao() /鍵盤掃描函數(shù) uchar w1,w2; p1=0xff; key=0x0f; w1=key&0xx0f; if(w1!=0x0f) /檢測是否有鍵按下 delay(5); /消抖 if(w1!=0x0f) wei+; /按鍵次數(shù) key=0x

12、f0; w=(w1|w2); /確定按鍵碼 while(key!=0xf0); /等待按鍵釋放 delay(5); /消抖 while(key!=0xf0); else w=0xff; /無按鍵按下 switch(w) /按下相應按鍵給num賦相應初值,確定執(zhí)行何種功能 case 0xe7: num=0;break; /0 case 0xeb: num=1;break;/數(shù)字鍵1 case 0xed: num=2;break;/數(shù)字鍵2 case 0xee: num=3;break;/數(shù)字鍵3 case 0xd7: num=4;break;/數(shù)字鍵4 case 0xdb: num=5;brea

13、k;/數(shù)字鍵5 case 0xdd: num=6;break;/數(shù)字鍵6 case 0xde: num=7;break;/數(shù)字鍵7 case 0xb7: num=8;break;/數(shù)字鍵8 case 0xbb: num=9;break;/數(shù)字鍵9 case 0xbd: num=10;break;/+功能 case 0xbe: num=11;break;/-功能 case 0x77: num=12;break;/*功能 case 0x7b: num=13;break;/ /功能 case 0x7d: num=14;break;/ =功能 case 0x7e: num=15;break;/清零功能

14、 case 0xff: num=16; break;/無按鍵 default:break; void shuju() /數(shù)據(jù)處理函數(shù) shaomiao();/調(diào)用掃描函數(shù) if(num10) /num4) /顯示4位數(shù)字 wei=1; str4=str3=str2=str1=16; str14=str13=str12=str11=0; strwei=num; /將數(shù)字num賦給數(shù)組strwei/將數(shù)字保存到變量temp中 if(str1!=16) temp=str1; if(str2!=16) temp=str1*10+str2; if(str3!=16) temp=str1*100+str2

15、*10+str3; if(str4!=16) temp=str1*1000+str2*100+str3*10+str4; if(temp=0) wei=0; if(num=10) /如num=10則加功能鍵按下標志位ss=1,數(shù)組初始化,將當前數(shù)字賦給變量temp1保存,按鍵次數(shù)wei清零 str4=str3=str2=str1=16; str14=str13=str12=str11=0; ss=1;temp1=temp;temp=0; wei=0; if(num=11) /如num=11則減功能鍵按下標志位ss=2,數(shù)組初始化,將當前數(shù)字賦給變量temp1保存,按鍵次數(shù)wei清零 str4=

16、str3=str2=str1=16; str14=str13=str12=str11=0; ss=2;temp1=temp;temp=0; wei=0; if(num=12) /如num=12則乘功能鍵按下標志位ss=3,數(shù)組初始化,將當前數(shù)字賦給變量temp1保存,按鍵次數(shù)wei清零 str4=str3=str2=str1=16; str14=str13=str12=str11=0; ss=3;temp1=temp;temp=0; wei=0; if(num=13) /如num=13則除功能鍵按下標志位ss=4,數(shù)組初始化,將當前數(shù)字賦給變量temp1保存,按鍵次數(shù)wei清零 str4=st

17、r3=str2=str1=16; str14=str13=str12=str11=0; ss=4;temp1=temp;temp=0; wei=0; if(num=14) /如num=14則等功能鍵按下標志位s1=4,數(shù)組初始化,按鍵次數(shù)wei清零 str4=str3=str2=str1=16; str14=str13=str12=str11=0; s1=1; wei=0; if(num=15) 如num=15則清零功能鍵按下,標志位flag+,數(shù)組初始化,按鍵次數(shù)wei,功能標志位ss清零, flag+; if(flag3) flag=0; str4=str3=str2=str1=16; s

18、tr14=str13=str12=str11=0; temp=0; wei=0;temp1=0; ss=0; if(num=16) /如無按鍵保持當前數(shù)字 str4=str4;str3=str3;str2=str2;str1=str1; str14=str14;str13=str13;str12=str12;str11=str11; temp=temp; wei=wei;temp1=temp1; ss=ss; if(s1=1) s1=0; switch(ss) /根據(jù)功能標志位ss執(zhí)行相應功能 case 0: break; case 1: temp=temp+temp1;break; case

19、 2: temp=temp1-temp;break; case 3: temp=temp*temp1;break; case 4: temp=temp1/temp;break;default:break; if(temp!=0) /分離temp的個十百千位 str14=temp/1000; str13=temp%1000/100; str12=temp%100/10; str11=temp%10/1; if(str11!=0) wei1=1; if(str12!=0) wei1=2; if(str13!=0) wei1=3; if(str14!=0) wei1=4; else wei1=1;

20、str11=0;void display1() /計算器顯示函數(shù) char a; for(a=1;awei1+1;a+) if(temp9999) p2=wetable0; p0=dutable14; else p2=wetablea-1; p0=dutablestr1a; delay(10); void display2()/時間顯示函數(shù) uchar i1; for(i1=0;i159) /加到60秒后秒清零,分加1 miao=0; fen+; if(fen59) 加到60分后分清零,時加1 fen=0;shi+;if(shi23)shi=0; if(num=10) fen+;if(fen5

21、9)fen=0;shi+; if(num=11) fen-;if(fen23) shi=0; if(num=13) shi-; if(shi0) shi=23; str20=fen%10; /分離時,分的個,十位 str21=fen/10; str22=shi%10; str23=shi/10;void main() /主函數(shù) init(); /調(diào)用定時器0初始化函數(shù) while(1) /循環(huán) shuju(); if(flag=3) /如連按鍵cl鍵3次顯示時間 diaoshi(); display2();else /否則顯示計算器數(shù)display1(); 附錄 實訓題目選擇1、數(shù)字溫度計設計

22、設計任務:n 測量誤差0.5;n 溫度測量范圍:n 超出量程可聲光報警。n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。2、多功能電子時鐘設計任務:n 實時顯示時、分、秒,以24(?。r計時方式運行;n 具有快速校準時、分、秒的功能;n 秒表/時鐘功能轉(zhuǎn)換;n 具有整點報時的功能;具有鬧鐘的功能。n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。3、電子時鐘的設計設計任務:n 采用定時器實現(xiàn)時鐘;n 用6個7段led數(shù)碼管作為顯示設備,設計時鐘功能;n 可以分別設定小時、分鐘和秒,復位后時間為00:00:00;n 秒鐘復位功能,秒復位鍵按下后,秒回到00;n 日期、時間切換功能;n

23、使用led作為顯示設備;n 實現(xiàn)鬧鐘功能。n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。4、電子萬年歷設計任務: n 采用ds1302實現(xiàn)時鐘;n 顯示實時的年、月、日、周、時、分、秒;n 且具有閏年補償功能;n 可調(diào)整時間、日期;n 可設置鬧鐘;n 可整點報時;n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。5、智能電子鐘(lcd顯示)設計要求:以at89s51單片機為核心,制作一個lcd顯示的智能電子鐘:n 采用定時器實現(xiàn)時鐘;n 計時:秒、分、時、天、周、月、年。n 閏年自動判別。n 五路定時輸出,可任意關斷(最大可到16路)。n 時間、月、日交替顯示。n 自定任意時刻自動

24、開/關屏。n 計時精度:誤差1秒/月(具有微調(diào)設置)n 鍵盤采用動態(tài)掃描方式查詢。所有的查詢、設置功能均由功能鍵k1、k2完成。n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。6、現(xiàn)代交通燈的設計 設計任務:n 設計一款帶左轉(zhuǎn)、直行,右轉(zhuǎn)三種通行綠燈,參見實物效果圖;n 帶緊急按鈕功能,當緊急按鈕按下時,所有方向均亮起紅燈;n 夜間運行模式按鈕,按下時,所有方向黃燈閃爍;n 可更在線修改紅綠燈等待間隔時間;n 實現(xiàn)顯示倒計時功能。 提示: proteus里的traffic模塊為傳統(tǒng)交通燈模型(只有紅、綠、黃三色);現(xiàn)可用單管led(或led點陣)來取代左右轉(zhuǎn)指示,或直行指示。n 畫出完整

25、的電路原理圖(包含電源部分)和pcb板圖。 7、模擬交通燈設計 功能要求:n 東西和南北方向各有一組紅,黃,綠燈用于指揮交通,紅、黃、綠的持續(xù)時間分別為30s,3s,27s。用發(fā)光二極管模擬交通燈的變化規(guī)律。n 以倒計時方式顯示兩個方向允許通行或禁止通行的時間。說明:東西路口紅燈亮,南北路口綠燈亮,同時開始30秒倒計時,計時到最后6秒時,南北路口的綠燈閃爍,計時到最后3秒時,南北路口黃燈亮。30秒結(jié)束后,南北 紅燈亮,東西路口綠燈亮,并重新30秒倒計時,依次循環(huán)。n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。8、多路搶答器的設計 設計任務:n 設計一款4路或以上的搶答器;n 設計一個搶

26、答控制開關(開始搶答后才允許答題者搶答),供主持人用;n 設定搶答時間限制,超過時間后,該題作廢;n 設定答題時間,數(shù)字led顯示當前答題者號數(shù);n 有犯規(guī)(未按開始鍵就搶答的)時顯示其號碼;n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。9、籃球記分器的設計設計任務:n 設計一款能夠顯示籃球比分的記分牌;n 通過加分按鈕可以給a隊,或b隊加分;n 設計對調(diào)功能,更換場地后,ab隊分數(shù)互換;n 增加局數(shù)比分功能n 增加比賽時間倒計時功能;n 其他功能(創(chuàng)新部分)n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。10、比賽用計時計分器設計功能要求:u 能記錄整個賽程的比賽時間,并能修改

27、比賽時間、暫停比賽時間;u 能隨時刷新甲、乙兩隊在整個賽程中的比分;u 比賽時間結(jié)束時,能發(fā)出報警指令。u 畫出完整的電路原理圖(包含電源部分)和pcb板圖。11、電風扇模擬控制系統(tǒng)設計 設計任務:n 用4個led顯示電風扇的工作狀態(tài)(1,2,3,4四檔風力),顯示風類:“自然風”、 “常風”和“睡眠風”。n 設計 “自然風”、 “常風”和“睡眠風” 三個風類鍵用于設置風類;n 設計一個“搖頭” 鍵用于控制電機搖頭。 n 設計一個“定時”鍵,用于定時時間長短設置;n 設計過熱檢測與保護電路,若電風扇電機過熱,則電機停止轉(zhuǎn)動,蜂鳴器報警,電機冷卻后電機又恢復轉(zhuǎn)動。n 用lcd作為用戶界面顯示風扇

28、運行模式等信息。 n 其他功能(創(chuàng)新部分)n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。12、秒表系統(tǒng)的設計 設計任務:n 設計一個精度為0.1s的秒表系統(tǒng) n 設計,啟動按鈕、暫停按鈕及清零按鈕。 n 設計每到一秒鐘有聲音提醒功能,可通過按鈕打開及關閉該提醒音 n 其他功能(創(chuàng)新部分)n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。13、電子密碼鎖的設計 設計任務:n 系統(tǒng)通過44的矩陣鍵盤輸入或設定開鎖密碼。 n 可以通過led查看已輸入的字符個數(shù)顯示為* n 可以通過特殊的按鍵方法清除用戶密碼如同時按下特定的多個鍵。 n 其他功能(創(chuàng)新部分)n 畫出完整的電路原理圖(包含電

29、源部分)和pcb板圖。14、4位數(shù)加法計算器的設計 n 系統(tǒng)通過44的矩陣鍵盤輸入數(shù)字及運算符。n 可以進行4位十進制數(shù)以內(nèi)的加法運算,如果計算結(jié)果超過4位十進制數(shù),則屏幕顯示e n 可以進行加法以外的計算(乘、除、減)。n 其他功能(創(chuàng)新部分)n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。 15、簡易計算器設計功能要求:n 實現(xiàn)基本的四則運算:加、減、乘、除;n 參與運算的兩個數(shù)據(jù)位數(shù)不超過4位;n 輸入運算的數(shù)據(jù)時,顯示器上顯示的數(shù)字要像平時用的計算器輸入一樣,即:每輸入一個數(shù)字,原來顯示的數(shù)字要往左移;n 具有清零功能;n 可以完成累加功能,即可以完成如下內(nèi)容:65+38+12+

30、35+77+。 n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。16、可編程作息時間控制器設計設計要求: n 按照給定的時間模擬控制實現(xiàn)廣播、上下課打鈴、燈光控制(屏幕顯示); n 具備日期和時鐘的顯示。 擴展功能: n 給定的時間可修改。 n 可模擬手動控制。 n 用揚聲器模擬打鈴。n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。17、電子琴設計設計要求n 利用所給鍵盤的1,2,3,4,5,6,7,8八個鍵,能夠發(fā)出8個不同的音調(diào),并且要求按下按鍵發(fā)聲,松開延時一段時間停止,中間再按別的鍵則發(fā)另一音調(diào)的聲音。n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。18、簡易電子琴設

31、計設計要求n 利用所給鍵盤的09數(shù)字鍵,按下17中對應鍵,單片機能夠發(fā)出高音、中音、低音的1、2、3、4、5、6、7七個音符,按鍵的長短代表節(jié)拍,這樣便可實現(xiàn)自編曲子或旋律;n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。19、數(shù)字音樂盒的設計設計要求n 以單片機為核心,設計一個數(shù)字音樂盒;n 利用i/o口產(chǎn)生一定頻率的方波,驅(qū)動蜂鳴器,發(fā)出不同的音調(diào),從而演奏樂曲(最少3首樂曲,每首不少于30s);n 采用lcd顯示信息;開機時有英文歡迎提示字符,播放時顯示歌曲序號(或名稱)??赏ㄟ^功能鍵選擇樂曲、暫停、播放。n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。20、電子音樂盒的設計

32、 設計任務:n 查閱資料,了解單片機控制單音喇叭發(fā)聲原理;n 設計基于單片機的電子音樂盒;n 通過按鈕可選擇不同的音樂;n 其他功能(創(chuàng)新部分)n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。21、音樂播放器設計功能要求:n 可播放多首音樂,且通過按鍵來選擇播放的音樂;n 選擇音樂時,顯示音樂名稱;n 可暫停,可實現(xiàn)循環(huán)播放功能。n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。22、多功能電子音樂播放器設計功能要求:n 電子琴和自動播放音樂模式的切換;n 電子琴功能:利用所給鍵盤的09數(shù)字鍵,按下17中對應鍵,單片機能夠發(fā)出高音、中音、低音的1、2、3、4、5、6、7七個音符,按鍵

33、的長短代表節(jié)拍,這樣便可實現(xiàn)自編曲子或旋律;n 自動播放曲子功能:自動播放存儲空間中自定義音樂格式的文件(2-3首歌);按鍵實現(xiàn)選曲,并且要將所選的曲號通過顯示器顯示出來。n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。23、簡易數(shù)字電壓表設計設計要求: n 以單片機為控制器,采用中斷方式,對2路0-5v的模擬電壓進行循環(huán)采集,采集的數(shù)據(jù)送led顯示,并存入內(nèi)存。超過界限時指示燈閃爍。 編寫下列控制程序 n 對2路模擬信號輸入實行循行采集,每路連續(xù)采集16次,取平均值。 n 分別設定每一路的上限值,若采集的平均值超過該界限值,則對應通道的指示燈閃爍10次后一直亮,n 指示燈閃爍時喇叭發(fā)聲

34、,以示警告。 n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。24、簡易波形發(fā)生器設計設計要求: n 利用單片機輸出頻率范圍1hz-1000 hz,幅值為0-5v 的正弦波、方波、三角波、梯形波、鋸齒波,并用示波器觀察。 n 頻率范圍、幅值可用鍵盤選擇,能顯示當前波形類別和頻率、幅值信息。n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。25、基于單片機的波形發(fā)生器設計設計內(nèi)容:n 設計一款能產(chǎn)生3種以上波形的波形發(fā)生器(30分)n 設計波形選擇按鈕(采用3個獨立按鍵)(10分)n 點陣顯示波形圖案(20分)n 能同時輸出兩種波形(30分)n 顯示頻率(10分)n 畫出完整的電路原理

35、圖(包含電源部分)和pcb板圖。26、彩燈控制器的設計 設計任務:n 用16盞以上的led小燈,實現(xiàn)至少4種彩燈燈光效果(不含全部點亮,全部熄滅;(30)n 可以用輸入按鈕在幾種燈光效果間切換;(20)n 可以通過按鈕暫停彩燈效果,使小燈全亮,再次按下相同按鈕后繼續(xù)之前的效果。(15)n 增加自動在幾種效果間切換的功能,并設置一個按鈕可以在自動模式和手動模式間切換。(10)n 使用定時中斷延時(5)n 實現(xiàn)其他除15中提到的功能(創(chuàng)新部分n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。27、出租車計費系統(tǒng)設計設計內(nèi)容:n 用一個獨立按鍵控制汽車前進檔位變化,根據(jù)按下次數(shù)不同,分別是1檔2

36、檔3檔4檔1檔.,檔位不同體現(xiàn)在直流電機的轉(zhuǎn)速變化,檔位值顯示數(shù)顯管; n 根據(jù)檔位值,以及運行時期,實時將里程數(shù)顯示在數(shù)顯管上; n 有暫定功能;當暫停按鈕按下,直流電機停轉(zhuǎn),里程數(shù)靜止不變; n 具有里程清零功能;清零時,里程表顯示為0; 28、排隊叫號系統(tǒng)設計設計內(nèi)容:n 主機通過按鍵完成叫號,數(shù)碼管顯示被叫的號碼;蜂鳴器響,提醒顧客接受服務; n 從機通過串行通信方式完成排隊取號功能; n 從機顯示隊列中排在其前面的顧客數(shù); n 其他創(chuàng)新內(nèi)容; n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。29、微波爐控制系統(tǒng)設計設計內(nèi)容:n 可設置三種最高溫度值,如低溫(20),中溫(30),高溫(50); n 可設置最長10分鐘定時; n 用ds18b20感知溫度,當溫度達到設置溫度值,并持續(xù)設定時間長度時,蜂鳴器報警提示,led燈閃爍,同時時間歸零; n 畫出完整的電路原理圖(包含電源部分)和pcb板圖。30、洗衣機控制系統(tǒng)設計設計內(nèi)容:n 用直流電機的轉(zhuǎn)速表征三種不同洗衣方式,弱洗、強洗、漂洗; n 實現(xiàn)最長10分鐘定時;

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論