第5章 組合邏輯電路_第1頁
第5章 組合邏輯電路_第2頁
第5章 組合邏輯電路_第3頁
第5章 組合邏輯電路_第4頁
第5章 組合邏輯電路_第5頁
已閱讀5頁,還剩36頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、 第第5 5章章 組合邏輯電路組合邏輯電路 按照電路結(jié)構(gòu)和邏輯功能的特點,通常將數(shù)字電按照電路結(jié)構(gòu)和邏輯功能的特點,通常將數(shù)字電路分為組合邏輯電路和時序邏輯電路兩大類。路分為組合邏輯電路和時序邏輯電路兩大類。 組合邏輯電路(簡稱組合電路)的穩(wěn)定輸出僅取組合邏輯電路(簡稱組合電路)的穩(wěn)定輸出僅取決于同一時刻電路的輸入狀態(tài),而與電路以前的狀態(tài)決于同一時刻電路的輸入狀態(tài),而與電路以前的狀態(tài)無關(guān)。電路具有以下特點:無關(guān)。電路具有以下特點: (1)數(shù)字信號是)數(shù)字信號是單向傳輸單向傳輸?shù)?,即只有從輸入到輸出的,即只有從輸入到輸出的傳遞,的傳遞,沒有沒有從輸出到輸入的從輸出到輸入的反饋反饋。 (2)電路完

2、全由)電路完全由邏輯門邏輯門構(gòu)成,構(gòu)成,沒有記憶單元沒有記憶單元。 本章介紹組合電路的分析和設(shè)計方法,以及典型本章介紹組合電路的分析和設(shè)計方法,以及典型組合電路的基本功能與應用。組合電路的基本功能與應用。5.1 組合邏輯電路的分析與設(shè)計組合邏輯電路的分析與設(shè)計 知識點:知識點:組合邏輯電路的分析和設(shè)組合邏輯電路的分析和設(shè)計方法。計方法。 技能點:技能點:初步掌握組合邏輯電路的初步掌握組合邏輯電路的分析和設(shè)計方法。分析和設(shè)計方法。 5.1.1 組合邏輯電路的分析組合邏輯電路的分析 分析一個已知的邏輯電路,是為了確定分析一個已知的邏輯電路,是為了確定電路輸出與輸入之間的邏輯關(guān)系(電路的邏電路輸出與

3、輸入之間的邏輯關(guān)系(電路的邏輯功能),并對電路的性能進行評價。輯功能),并對電路的性能進行評價。基本步驟基本步驟: 根據(jù)已知邏輯圖根據(jù)已知邏輯圖寫出邏輯表達式寫出邏輯表達式化化簡邏輯式簡邏輯式列出真值表列出真值表分析邏輯功能。分析邏輯功能。例例5.1 分析圖分析圖5.1所示電路的邏輯功能。所示電路的邏輯功能。解解:(:(1)寫出邏輯表達式)寫出邏輯表達式,顯然有:顯然有:&ABCYEHG圖圖5-1 例例5.1邏輯電路邏輯電路ABBCACEHGACBCAB E= H= G= Y=(2)化簡邏輯表達式)化簡邏輯表達式EHGACBCAB Y=ABBCAC(3)根據(jù)邏輯表達式,列出真值表,如表)根據(jù)邏

4、輯表達式,列出真值表,如表5-1所示。所示。輸輸 入入輸輸 出出ABCY00001111001100110101010100010111表表5-1 例例 5.1的真值表的真值表 (4)邏輯功能描述)邏輯功能描述。 從表從表5-1可知,當可知,當3個輸入變量個輸入變量A、B、C中有中有2個或個或3個為個為1時,輸時,輸出狀態(tài)出狀態(tài)Y為為1,否則輸出為,否則輸出為0。 顯然,這個電路實際上是一種顯然,這個電路實際上是一種3人表決用的組合電路:即只要有人表決用的組合電路:即只要有2票或票或3票同意,表決就通過。票同意,表決就通過。5.1.2 組合邏輯電路的設(shè)計組合邏輯電路的設(shè)計 根據(jù)所給定的邏輯功能

5、,畫出能實現(xiàn)該功能的邏輯電路根據(jù)所給定的邏輯功能,畫出能實現(xiàn)該功能的邏輯電路圖,是邏輯電路設(shè)計的任務。圖,是邏輯電路設(shè)計的任務。基本步驟為:基本步驟為:1)根據(jù)設(shè)計要求,確定輸入變量(條件)、輸出變量(結(jié)果),分)根據(jù)設(shè)計要求,確定輸入變量(條件)、輸出變量(結(jié)果),分析它們之間的邏輯關(guān)系。析它們之間的邏輯關(guān)系。2)根據(jù)所確定的邏輯關(guān)系,列出輸入變量與輸出變量之間的真值表。)根據(jù)所確定的邏輯關(guān)系,列出輸入變量與輸出變量之間的真值表。3)根據(jù)真值表寫出邏輯表達式并化簡。)根據(jù)真值表寫出邏輯表達式并化簡。4)根據(jù)化簡后的邏輯表達式畫出邏輯電路圖。)根據(jù)化簡后的邏輯表達式畫出邏輯電路圖。 例例5.2

6、 交通信號燈有紅、綠、黃交通信號燈有紅、綠、黃3種,種,3種燈分別單獨工作或黃、種燈分別單獨工作或黃、綠燈同時工作時均屬正常情況,其它狀態(tài)則為故障,要求出現(xiàn)故綠燈同時工作時均屬正常情況,其它狀態(tài)則為故障,要求出現(xiàn)故障時輸出報警信號。試用障時輸出報警信號。試用與非門與非門設(shè)計一個交通燈故障報警控制電設(shè)計一個交通燈故障報警控制電路。路。解解:(1)以輸入變量)以輸入變量A、B、C分別表示分別表示紅、綠、黃紅、綠、黃3種燈,燈亮時種燈,燈亮時其值為其值為1、燈滅時為、燈滅時為0; 輸出輸出報警信號報警信號用用Y表示,交通燈出現(xiàn)故障時表示,交通燈出現(xiàn)故障時Y為為1、否則為、否則為0。(2)根據(jù)邏輯功能

7、要求,列出真值表。)根據(jù)邏輯功能要求,列出真值表。輸輸 入入輸輸 出出ABCY00001111001100110101010100001111(3)寫出邏輯表達式并化簡,然后將最簡與)寫出邏輯表達式并化簡,然后將最簡與或表達式轉(zhuǎn)換為與非表達式?;虮磉_式轉(zhuǎn)換為與非表達式。ABC00 011011011111 Y=ABC 例例5.2 交通信號燈有紅、綠、黃交通信號燈有紅、綠、黃3種,種,3種燈分別單獨工作或黃、種燈分別單獨工作或黃、綠燈同時工作時均屬正常情況,其它狀態(tài)則為故障,要求出現(xiàn)故綠燈同時工作時均屬正常情況,其它狀態(tài)則為故障,要求出現(xiàn)故障時輸出報警信號。試用障時輸出報警信號。試用與非門與非門

8、設(shè)計一個交通燈故障報警控制電設(shè)計一個交通燈故障報警控制電路。路。輸輸 入入輸輸 出出ABCY00001111001100110101010100001111ABC00 011011011111AB +AC +=ACABCBA=ACABCCBBAA&ABCY圖圖5-2 例例5.2邏輯電路圖邏輯電路圖ACABCBAYACABCCBBAA 思考題:思考題: (1)組合邏輯電路有哪些特點?)組合邏輯電路有哪些特點? (2)什么叫組合邏輯電路的分析?)什么叫組合邏輯電路的分析? (3)組合邏輯電路的設(shè)計一般分為哪幾)組合邏輯電路的設(shè)計一般分為哪幾步完成?步完成? 5.2 常用的組合邏輯電路常用的組合邏輯

9、電路 知識點:知識點: (1)常用組合邏輯電路的基本功能、邏輯符號、)常用組合邏輯電路的基本功能、邏輯符號、真值表。真值表。 (2)典型組合邏輯電路的引腳功能以及使用方法。)典型組合邏輯電路的引腳功能以及使用方法。 技能點:技能點:掌握典型組合邏輯電路的使用方法。掌握典型組合邏輯電路的使用方法。 5.2.2 編碼器編碼器 實現(xiàn)編碼功能的邏輯電路稱為編碼器。實現(xiàn)編碼功能的邏輯電路稱為編碼器。 編碼器將編碼器將輸入信息(信號)輸入信息(信號)轉(zhuǎn)換為轉(zhuǎn)換為二二進制代碼進制代碼輸出。輸出。 編碼器通??煞譃槠胀ň幋a器和優(yōu)先編碼器通常可分為普通編碼器和優(yōu)先編碼器兩類。編碼器兩類。 1、普通編碼器、普通編

10、碼器 在普通編碼器中,任何時刻只允許輸入一個信號,即在普通編碼器中,任何時刻只允許輸入一個信號,即編碼器編碼器每次每次只能對只能對一個有效信號一個有效信號進行進行編碼編碼。 n位編碼器(輸出的二進制代碼有位編碼器(輸出的二進制代碼有n位)可以表示位)可以表示2n 個信息。個信息。 3位編碼器可以表示位編碼器可以表示23 =8個信息,電路有個信息,電路有8個輸入信個輸入信號、輸出號、輸出3位代碼,通常稱為位代碼,通常稱為8線線-3線編碼器。線編碼器。 4位編碼器有位編碼器有24=16個輸入信號,輸出個輸入信號,輸出4位代碼,稱為位代碼,稱為16線線-4線編碼器。線編碼器。 這種編碼器通稱為二進制

11、編碼器。這種編碼器通稱為二進制編碼器。 在普通編碼器中,常見的還有二在普通編碼器中,常見的還有二-十進制編碼器,即用十進制編碼器,即用4位二進制代碼表示位二進制代碼表示10個十進制數(shù)碼,電路輸入有個十進制數(shù)碼,電路輸入有10個信個信號(表示號(表示10個數(shù)碼)、輸出個數(shù)碼)、輸出4位代碼,通常稱之為位代碼,通常稱之為BCD編編碼器。碼器。 BCD編碼的方案有多種,最常用的是編碼的方案有多種,最常用的是8421BCD碼。碼。 8線線-3線編碼器、線編碼器、8421BCD編碼器的真值表分別如表編碼器的真值表分別如表5-6、表、表5-7所示。所示。輸輸 入入輸輸 出出A2 A1 A0I00 0 0I

12、10 0 1I20 1 0I30 1 1I41 0 0I51 0 1I61 1 0I71 1 1輸輸 入入輸輸 出出A3 A2 A1 A0I00 0 0 0I10 0 0 1I20 0 1 0I30 0 1 1I40 1 0 0I50 1 0 1I60 1 1 0I70 1 1 1I81 0 0 0I91 0 0 1 表表5-6 8線線-3線編碼器的真值表線編碼器的真值表 表表5-7 8421BCD編碼器的真值表編碼器的真值表 2、優(yōu)先編碼器、優(yōu)先編碼器 能根據(jù)輸入信號的優(yōu)先級別進行編碼的邏輯能根據(jù)輸入信號的優(yōu)先級別進行編碼的邏輯電路稱為優(yōu)先編碼器。電路稱為優(yōu)先編碼器。 優(yōu)先編碼器對所有的輸入

13、信號設(shè)置了不同的優(yōu)先編碼器對所有的輸入信號設(shè)置了不同的優(yōu)先權(quán)級,它允許多個信號同時輸入,優(yōu)先編碼優(yōu)先權(quán)級,它允許多個信號同時輸入,優(yōu)先編碼器能自動識別其優(yōu)先級別,并僅對其中級別最高器能自動識別其優(yōu)先級別,并僅對其中級別最高的信號進行編碼。的信號進行編碼。 集成二進制集成二進制8線線-3線優(yōu)先編碼器線優(yōu)先編碼器74LS148的引腳排序、邏的引腳排序、邏輯符號以及真值表分別如圖輯符號以及真值表分別如圖5-9、表、表5-8所示。所示。a) 引腳排序圖引腳排序圖 b) 邏輯符號邏輯符號圖圖5-9 優(yōu)先編碼器優(yōu)先編碼器74LS148輸輸 入入 端端輸輸 出出 端端EI01234567A2A1A0GSEO

14、10000000001010110111011110111110111111011111110111111111000011111100110011110101010111000000001011111111表表5-8 優(yōu)先編碼器優(yōu)先編碼器74LS148的真值表的真值表 優(yōu)先編碼器適用于同一時刻有多個輸入信優(yōu)先編碼器適用于同一時刻有多個輸入信號要求編碼的場合,例如計算機控制系統(tǒng)中,號要求編碼的場合,例如計算機控制系統(tǒng)中,有多臺設(shè)備向主機發(fā)出的中斷請求等。有多臺設(shè)備向主機發(fā)出的中斷請求等。 輸入信號的優(yōu)先級別是由設(shè)計電路時決定輸入信號的優(yōu)先級別是由設(shè)計電路時決定的。的。 使用時必須根據(jù)電路的真值

15、表,設(shè)置、選使用時必須根據(jù)電路的真值表,設(shè)置、選擇相關(guān)的控制端和輸入端,保證電路的正常工擇相關(guān)的控制端和輸入端,保證電路的正常工作。作。 5.2.3 譯碼器譯碼器 將代碼狀態(tài)的特定含義翻譯出來的過程稱為將代碼狀態(tài)的特定含義翻譯出來的過程稱為譯碼譯碼,譯碼是編碼的逆過程。,譯碼是編碼的逆過程。 實現(xiàn)譯碼功能的邏輯電路稱為實現(xiàn)譯碼功能的邏輯電路稱為譯碼器譯碼器。 譯碼器將輸入的二進制代碼轉(zhuǎn)換為與代碼對譯碼器將輸入的二進制代碼轉(zhuǎn)換為與代碼對應的輸出信號。應的輸出信號。 譯碼器有譯碼器有n個輸入信號、個輸入信號、N個輸出信號,個輸出信號,每輸每輸入一組不同的代碼,只有一個輸出呈現(xiàn)有效狀態(tài)。入一組不同的

16、代碼,只有一個輸出呈現(xiàn)有效狀態(tài)。 按邏輯功能的特點,譯碼器分為通用譯碼按邏輯功能的特點,譯碼器分為通用譯碼器和顯示譯碼器兩大類。器和顯示譯碼器兩大類。 1、通用譯碼器、通用譯碼器 輸入有幾種可能的狀態(tài)(每一種狀態(tài)代表輸入有幾種可能的狀態(tài)(每一種狀態(tài)代表一個信號),則需要有幾根信號輸出線的譯碼一個信號),則需要有幾根信號輸出線的譯碼器,稱為通用譯碼器。器,稱為通用譯碼器。 常用的有二進制譯碼器和二常用的有二進制譯碼器和二-十進制譯碼器。十進制譯碼器。 (1)二進制譯碼器)二進制譯碼器 二進制譯碼器又稱為二進制譯碼器又稱為n線線-2n線譯碼器,即線譯碼器,即在有在有n位二進制代碼輸入(有位二進制代

17、碼輸入(有n個輸入端個輸入端)情況)情況下,電路共有下,電路共有2n種不同的輸入狀態(tài)、電路有種不同的輸入狀態(tài)、電路有2n個輸出端個輸出端與之對應。與之對應。 常用的有常用的有3線線-8線譯碼器、線譯碼器、4線線-16線譯碼器。線譯碼器。A2、A1、A0為二進制代碼為二進制代碼輸入端,輸入端, 為譯碼輸出端為譯碼輸出端(輸出信號(輸出信號為低電平有效)為低電平有效)。G1、 、 :控制端(也稱使能端),:控制端(也稱使能端),當控制端信號有效,當控制端信號有效,即即G1=1、 =0時時,譯碼器處于工作狀態(tài)譯碼器處于工作狀態(tài),輸入端,輸入端A2A1A0的狀的狀 態(tài)決定了所對應的一個輸出信號。態(tài)決定

18、了所對應的一個輸出信號。當當G1=0或或 =1時,時,譯碼器為禁止狀態(tài),譯碼器為禁止狀態(tài),輸出端全為高電平輸出端全為高電平A2GB2GA2GB2GA2GB2G7Y0Ya) 引腳排序引腳排序 b) 邏輯符號邏輯符號圖圖5-10 3線線-8線譯碼器線譯碼器74LS138 DAAAYYYYYYYY01202345671 a) 電路連接電路連接 b) 功能示意功能示意圖圖5-11 74LS138構(gòu)成的一位數(shù)據(jù)分配器構(gòu)成的一位數(shù)據(jù)分配器 例例5.3 利用利用74LS138構(gòu)成一位數(shù)據(jù)分配器。構(gòu)成一位數(shù)據(jù)分配器。解:解:G1=1、 =0;將;將 :數(shù)據(jù)輸入端數(shù)據(jù)輸入端D;A2A1A0:數(shù)數(shù)據(jù)輸出通道的選擇

19、地址,據(jù)輸出通道的選擇地址,指定了數(shù)據(jù)輸出的通道。指定了數(shù)據(jù)輸出的通道。B2GA2G 從圖從圖5-10b的功能示意可看出,數(shù)據(jù)分配器可將數(shù)據(jù)的串行輸入的功能示意可看出,數(shù)據(jù)分配器可將數(shù)據(jù)的串行輸入變?yōu)閿?shù)據(jù)的并行輸出。變?yōu)閿?shù)據(jù)的并行輸出。例例. 試用譯碼器試用譯碼器74LS138和與非門實現(xiàn)如下多輸出邏輯和與非門實現(xiàn)如下多輸出邏輯函數(shù)。函數(shù)。Z1=AB+CZ2=AB+AC+ABC解解:(:(1)變換原函數(shù))變換原函數(shù)-最小項表達式最小項表達()()(YYYYYYYYYYCBABCAABCCBACBABBCAACCBACBABBAACCCBACBAZ例例. 試用譯碼器試用

20、譯碼器74LS138和與非門實現(xiàn)如下多輸出邏輯和與非門實現(xiàn)如下多輸出邏輯函數(shù)。函數(shù)。Z1=AB+CZ2=AB+AC+ABC解解:(:(1)變換原函數(shù))變換原函數(shù)-最小項表達式最小項表達式012345664501232)()()(YYYYYYYYYYYYYYCABCBACBACBACBACBABCACABCBABCACCAABCCBBACABCBABCABACABBBCABAZ例例. 試用譯碼器試用譯碼器74LS138和與非門實現(xiàn)如下多輸出邏輯和與非門實現(xiàn)如下多輸出邏輯函數(shù)。函數(shù)。解解:(:(2)畫出邏輯電路圖)畫出邏輯電路圖74LS138ABCG1G2AG2BY0Y1Y2Y3Y4Y5Y6Y7&

21、CBA5VZ2Z1134571YYYYYZ 01234562YYYYYYYZ (2)二)二-十進制譯碼器十進制譯碼器 將將4位二位二-十進制代碼(十進制代碼(BCD碼)翻譯碼)翻譯成成10個十進制數(shù)碼信號的電路,稱為二個十進制數(shù)碼信號的電路,稱為二-十十進制譯碼器。進制譯碼器。 它有它有4位代碼輸入端以及(與十進制數(shù)位代碼輸入端以及(與十進制數(shù)碼對應的)碼對應的)10個信號輸出端,故又叫個信號輸出端,故又叫4線線-10線譯碼器。線譯碼器。 在在4線線-10線譯碼器中,線譯碼器中,4個地址輸入有個地址輸入有16個狀態(tài)組合,其中個狀態(tài)組合,其中有有6個狀態(tài)組合譯碼器無對應輸出的代碼,稱這個狀態(tài)組合

22、譯碼器無對應輸出的代碼,稱這6個狀態(tài)組合為偽個狀態(tài)組合為偽碼。碼。 電路的輸出能拒絕偽碼或輸入偽碼對輸出不起作用的譯碼器電路的輸出能拒絕偽碼或輸入偽碼對輸出不起作用的譯碼器也稱全譯碼器。也稱全譯碼器。 常用的二常用的二-十進制集成譯碼器有十進制集成譯碼器有74LS42、CD4028等。等。圖圖5-12 4線線-10線譯碼器線譯碼器74LS42a)引腳排序圖引腳排序圖b)邏輯符號邏輯符號表表5-10 4線線-10線譯碼器線譯碼器74LS42的真值表的真值表 2、顯示譯碼器、顯示譯碼器 (1)數(shù)碼顯示器)數(shù)碼顯示器 能夠?qū)?shù)字電路處理的結(jié)果直接用十進制數(shù)字顯能夠?qū)?shù)字電路處理的結(jié)果直接用十進制數(shù)字

23、顯示出來的器件,稱為數(shù)碼顯示器。示出來的器件,稱為數(shù)碼顯示器。 顯示器件的種類很多,在數(shù)字電路中最常用的數(shù)顯示器件的種類很多,在數(shù)字電路中最常用的數(shù)碼顯示器是發(fā)光二極管(碼顯示器是發(fā)光二極管(LED)顯示器和液晶()顯示器和液晶(LCD)顯示器。顯示器。 LED主要用于顯示數(shù)字和字母,主要用于顯示數(shù)字和字母,LCD可以顯示數(shù)可以顯示數(shù)字、字母、文字和圖形等。字、字母、文字和圖形等。 7段段LED數(shù)碼顯示器俗稱數(shù)碼管,數(shù)碼顯示器俗稱數(shù)碼管,其將要顯示的十進制數(shù)碼分成其將要顯示的十進制數(shù)碼分成7段,每段為一個發(fā)光二極管,段,每段為一個發(fā)光二極管,利用不同的發(fā)光段組合來顯示利用不同的發(fā)光段組合來顯示

24、不同的數(shù)字,每個發(fā)光二極管不同的數(shù)字,每個發(fā)光二極管對應數(shù)碼管中的一段。對應數(shù)碼管中的一段。 數(shù)碼管中的數(shù)碼管中的7個發(fā)光二極管有共陰極和共陽個發(fā)光二極管有共陰極和共陽極兩種接法,數(shù)碼管的電路符號、內(nèi)部結(jié)構(gòu)及極兩種接法,數(shù)碼管的電路符號、內(nèi)部結(jié)構(gòu)及引腳排序如圖引腳排序如圖5-13所示。所示。a) 共陰極符號與結(jié)構(gòu)共陰極符號與結(jié)構(gòu) b) 共陽極符號與結(jié)構(gòu)共陽極符號與結(jié)構(gòu) c) 引腳排序引腳排序圖圖5-13 7段段LED數(shù)碼管數(shù)碼管 顯然,不同結(jié)構(gòu)的數(shù)碼管所需的驅(qū)動電平不同:顯然,不同結(jié)構(gòu)的數(shù)碼管所需的驅(qū)動電平不同:共陰極共陰極數(shù)碼數(shù)碼管需要管需要高電平驅(qū)動,高電平驅(qū)動,輸入端為高電平時發(fā)光;輸入

25、端為高電平時發(fā)光;共陽極共陽極數(shù)碼管需要數(shù)碼管需要低電平驅(qū)動,低電平驅(qū)動,輸入端為低電平時發(fā)光。輸入端為低電平時發(fā)光。 LED數(shù)碼管清晰悅目、工作電壓低、體積小、壽命長、顏色數(shù)碼管清晰悅目、工作電壓低、體積小、壽命長、顏色豐富多彩以及工作可靠,是目前最常用的數(shù)碼顯示器件,常用的豐富多彩以及工作可靠,是目前最常用的數(shù)碼顯示器件,常用的有有BS204(共陽極)和(共陽極)和BS202(共陰極)等型號。(共陰極)等型號。 .a) 共陰極符號與結(jié)構(gòu)共陰極符號與結(jié)構(gòu) b) 共陽極符號與結(jié)構(gòu)共陽極符號與結(jié)構(gòu) c) 引腳排序引腳排序圖圖5-13 7段段LED數(shù)碼管數(shù)碼管 (2)顯示譯碼器)顯示譯碼器 專用于

26、專用于驅(qū)動數(shù)碼管工作驅(qū)動數(shù)碼管工作的的譯碼器稱為顯示譯碼器。譯碼器稱為顯示譯碼器。 能將輸入的每一組能將輸入的每一組BCD碼碼翻譯成顯示器所要求的翻譯成顯示器所要求的7段二進段二進制代碼。制代碼。 與普通譯碼器不同的是,與普通譯碼器不同的是,其其輸出端輸出端中中可能同時有多個輸可能同時有多個輸出端有信號輸出出端有信號輸出。 顯示譯碼器顯示譯碼器輸出的有效信輸出的有效信號號有有高電平、低電平高電平、低電平兩種形式。兩種形式。圖圖5-14 顯示譯碼器與數(shù)碼管的關(guān)系顯示譯碼器與數(shù)碼管的關(guān)系 在實際應用中,不同結(jié)構(gòu)的數(shù)碼管,必須選擇輸出電壓在實際應用中,不同結(jié)構(gòu)的數(shù)碼管,必須選擇輸出電壓不同的顯示譯碼器:不同的顯示譯碼器: 若使用的是若使用的是共陰數(shù)碼管共陰數(shù)碼管,所選用的,所選用的顯示譯碼器輸出顯示譯碼器輸出的有的有效信號必須是效信號必須是1(高電平有效)(高電平有效); 如果使用的是如果使用的是共陽數(shù)碼管共陽數(shù)碼管,所選用的,所選用的顯示譯碼器輸出顯示譯碼器輸出的的有效信號必須是有效信號必須是0(低電平有效)(低電平有效)。 常用的集成常用的集成BCD碼碼7段顯示譯碼器有多種型號,其中屬段顯示譯碼器有多種型號,其中屬TTL型的型的74LS48為輸出高電平有效,可直接驅(qū)動共陰極的為輸出高電平有

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論