燕山大學(xué)EDA課程設(shè)計數(shù)字跑表_第1頁
燕山大學(xué)EDA課程設(shè)計數(shù)字跑表_第2頁
燕山大學(xué)EDA課程設(shè)計數(shù)字跑表_第3頁
燕山大學(xué)EDA課程設(shè)計數(shù)字跑表_第4頁
燕山大學(xué)EDA課程設(shè)計數(shù)字跑表_第5頁
已閱讀5頁,還剩4頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、一、設(shè)計題目及要求設(shè)計題目:數(shù)字跑表要求:1 具有暫停,啟動功能; 2 具有重新開始功能; 3 用六個數(shù)碼管分別顯示百分秒,秒和分鐘。二、設(shè)計過程及內(nèi)容總體設(shè)計:第一,對于要實現(xiàn)的暫停、啟動和重新開始功能,需要有一個控制模塊完成相關(guān)控制。第二由題意可知需要一個分頻模塊,將實驗箱提供的頻率轉(zhuǎn)換為100hz即數(shù)字跑表百分秒的頻率。第三是計時模塊,完成跑表的百分秒、秒和分鐘的計時功能。第四由于實驗箱提供的數(shù)碼顯示是掃描顯示,這就需要一個選時模塊。第五部分則是顯示模塊。詳細設(shè)計過程:根據(jù)要求,將設(shè)計分成五個模塊:1、控制模塊:使跑表具有啟動、暫停及重新開始的功能;2、分頻模塊:將實驗箱所提供的頻率轉(zhuǎn)換

2、為設(shè)計題目所需要的100hz的時鐘脈沖;3、計時模塊:進行百分秒、秒、分的計時,并且將當(dāng)前時間輸出給選時模塊;4、選時模塊:從計時器得到當(dāng)前時間輸出給顯示模塊;5、顯示模塊:通過數(shù)碼管顯示時間??倛D如下:仿真波形:第一個模塊:控制模塊控制模塊主要運用了兩個d觸發(fā)器,輸入到觸發(fā)器的時鐘信號clk1頻率為2.86hz,對電路起到了防抖的功能。start/stop為啟動暫停按鈕,當(dāng)跑表為start狀態(tài)時clk端為高電平,q為1,時鐘信號輸出,當(dāng)跑表為stop狀態(tài)時clk端為低電平,q為0,時鐘信號不輸出,從而實現(xiàn)開始和暫停的功能。與門可控制時鐘信號是否被輸出到下一級。reset端為全局清零按鈕,接到

3、控制模塊和計時模塊的清零端,負責(zé)將計數(shù)器清零。當(dāng)reset為低電平時,控制模塊和總計數(shù)器模塊清零,跑表重新開始工作。電路圖如下:仿真波形:第二個模塊:分頻模塊為了將實驗箱提供的1465hz轉(zhuǎn)換成實驗需要的100hz,我將74161接成15進制計數(shù)器,實現(xiàn)分頻的功能,轉(zhuǎn)換為100hz的近似時鐘信號。然后將輸出的時鐘接入到計時模塊。電路圖如下:仿真波形:第三個模塊:計時模塊計時模塊由一個100進制計數(shù)器和兩個60進制計數(shù)器構(gòu)成,從而實現(xiàn)百分秒向秒、秒向分的計數(shù)功能需求。100進制計數(shù)器和60進制計數(shù)器均采用兩個74160,100進制采用并行進位方式,60進制采用整體置數(shù)方式。從100進制計數(shù)器和6

4、0進制計數(shù)器這三個輸出端分別接出八個端口(百分秒、秒、分的個位及十位分別由四個二進制代碼表示),將當(dāng)前時間代碼輸送給選時模塊,以實現(xiàn)時間的選擇和顯示。(百分秒個位:h0a,h0b,h0c,h0d;百分秒十位:h1a,h1b,h1c,h1d;秒個位:s0a,s0b,s0c,s0d;秒十位:s1a,s1b,s1c,s1d;分個位:m0a,m0b,m0c,m0d;分十位:m1a,m1b,m1c,m1d.)電路圖如下:仿真波形:100進制計數(shù)器(count100):仿真波形:60進制計數(shù)器(count60):仿真波形:第四個模塊:選時模塊選時模塊由四個八選一數(shù)據(jù)選擇器74ls151和一個地址選擇器74

5、ls161構(gòu)成。地址選擇器74ls161接入一個1465 hz的時鐘信號,使能端和清零端接高電平,使其循環(huán)工作,產(chǎn)生的一組循環(huán)地址碼接入到四個八選一數(shù)據(jù)選擇器74ls151上,使其對地址相同的一組數(shù)據(jù)進行選擇,產(chǎn)生四個二進制數(shù)ca,cb,cc,cd,即為數(shù)碼管所要顯示的數(shù)字的編碼。同時,地址選擇器74ls161產(chǎn)生一組循環(huán)地址碼a、b、c,接到數(shù)碼管的地址端,使其循環(huán)顯示數(shù)字。第一個74ls151上的輸入端為百分秒、秒、分個位及十位的四位二進制的最低位( h0a, h1a ,s0a,s1a, m0a, m1a), 第二個74ls151上的輸入端為百分秒、秒、分個位及十位的四位二進制的次低位(h

6、0b,h1b ,s0b,s1b,m0b,m1b), 第三個74ls151上的輸入端為百分秒、秒、分個位及十位的四位二進制的第二位(h0c,h1c ,s0c,s1c,m0c,m1c), 第四個74ls151上的輸入端為百分秒、秒、分個位及十位的四位二進制的第一位(h0d,h1d ,s0d,s1d,m0d,m1d),通過這四個八位二進制數(shù)比較器74ls151選出同一組數(shù)(百分秒個位:h0a,h0b,h0c,h0d;百分秒十位:h1a,h1b,h1c,h1d;秒個位:s0a,s0b,s0c,s0d;秒十位:s1a,s1b,s1c,s1d;分個位:m0a,m0b,m0c,m0d;分十位:m1a,m1b

7、,m1c,m1d)作為輸出ca,cb,cc,cd,接到顯示模塊輸入端。電路圖如下:仿真波形:第五個模塊:顯示模塊顯示模塊采用bcd七段顯示譯碼器7448對實驗板上數(shù)碼管進行驅(qū)動。由選時模塊輸出的顯示數(shù)字編碼ca,cb,cc,cd接至輸入端a,b,c,d,使輸出端產(chǎn)生七位譯碼連接到實驗箱公共數(shù)據(jù)輸入端abcdedg,從而顯示出數(shù)據(jù)。電路圖如下:仿真波形:三、設(shè)計結(jié)論兩周的課程設(shè)計很快就結(jié)束了,雖然時間很短,但是收獲頗豐。通過這次課程設(shè)計,我學(xué)到了許多關(guān)于eda的知識,學(xué)習(xí)到了很多eda的實用功能,更重要的是鍛煉了我的實踐動手能力,使我深刻地認識到僅僅學(xué)習(xí)課本上的知識是遠遠不夠的,要多思考,多實踐

8、,才能真正把學(xué)到的知識用到實際中,而且我也深刻認識到通信專業(yè)在各個領(lǐng)域是多么有用武之地,更加使我有了學(xué)習(xí)深造的動力。在設(shè)計的過程中遇到諸多問題,一個接一個,總結(jié)下來還是軟件沒有學(xué)深刻,出了問題也不知道如何排查,波形圖一直找不到自己想看到的,后來經(jīng)過問同學(xué)和自己的總結(jié)才知道這個仿真的時間要足夠長,才能看到自己所需要的部分。讓我知道做一件事之前的準(zhǔn)備工作是多么重要,同時在設(shè)計的過程中發(fā)現(xiàn)了自己的不足之處,對以前所學(xué)過的知識理解得不夠深刻,掌握得不夠牢固。遇到問題才去翻書查資料,這些都是我以后要改進的地方。這次的eda課程設(shè)計給了我一次非常重要也非常難得的實踐機會,使我可以將平時課本上學(xué)習(xí)的理論知識

9、應(yīng)用于實際操作。設(shè)計過程多于我這個專業(yè)知識還不牢固的很困難,先前兩天看了課本學(xué)習(xí)了軟件,每個子模塊和波形圖出來的都比較順利,但當(dāng)做到總圖的時候遇到了很多困難,經(jīng)過詢問老師后也都解決了。實驗箱的部分比較順利,由于粗心連錯了線,頻率也沒選對,總之過程很艱難,但最終還是做出來了。最后感謝老師給與我這次寶貴的實踐機會!meet the standard requirements. post weld heat treatment of pipes after heat treatment, hardness tests should be conducted, hardness test sampli

10、ng of not less than 10%, hardness 120%,15crmo hardness of carbon steel pipe shall be less than base metal steel after heat treatment, hardness should not be greater than the parent material of 125%. rate of pipeline nondestructive testing shall be carried out according to the design requirements, no

11、 no design requirements the sh3501-2002 table 10 percentage of radiographic examination of welded joints and acceptable level, and fixed number not less than the total number of 40% weld inspection. this project has a wall thickness of 32mm 20# steel high pressure tube, x-rays cannot penetrate, the

12、situation may not allow non-destructive testing by -ray, used weld backing and two-layer welds before filled by x ray detection method, the other layer by ultrasonic detector method. should be consistent with existing national standards for radiographic testing of the . gas tank used chain for this

13、project promoted the upsidedown construction. chain reversal installation method shown in the following figure: welders welders and welding methods to participate in this project should be in accordance with the ministry of personnel issued by the boilers and pressure vessels welder examination rules for qualification examination, after passing the qualification certificate of welding work. tank welding manual arc welding, automatic welding. manual arc welding company has a number of excellent welder, took part in all kinds of large, medium and small storage tank, pressure vesse

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論