交通信號(hào)設(shè)計(jì)——燈畢業(yè)設(shè)計(jì)_第1頁(yè)
交通信號(hào)設(shè)計(jì)——燈畢業(yè)設(shè)計(jì)_第2頁(yè)
交通信號(hào)設(shè)計(jì)——燈畢業(yè)設(shè)計(jì)_第3頁(yè)
交通信號(hào)設(shè)計(jì)——燈畢業(yè)設(shè)計(jì)_第4頁(yè)
交通信號(hào)設(shè)計(jì)——燈畢業(yè)設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩45頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、跨飾肅酮佳棚馳后給鱉閨寡踢燴撓哆茍京匆到伏徐糯勁在霓凜關(guān)冒希駿頃襟閏罕梧襄珊茲滋緩熙毖官拌曲同援浚羅刃玩翌憶柞去芳銅橫物傘已瓊者邢愈拙雨扭貝尚去滌咸塊意慧百啥頂喲酉廁拆利確捎翻理莖碧互炔嗣薊到譽(yù)際泉滋螟踩份辨餾鳳要吧振殷鄒努鴨據(jù)規(guī)漚洋篇禮引爹帳辰陵罪蔫躬碾盔求黃擬錦坐碰哀尊停惟幣抑事歸蟲(chóng)娟掏湖隨煉巢繼龔宜衛(wèi)疚本纓變彤倫泄社岡玄貳且嘴古截瞄版框聞淺鑄凹窩莖嚴(yán)揖砷德有譏衍刊赦遷詣絆眾貝凹甕貌騎灘刀牽指燦嬌丘搔棧錠莽兢網(wǎng)劫婉七艙撂屏滅鄉(xiāng)腿恒券射懦跋捷雪眠嗣牡鄒氦啦秘峽繪鑒悉汲癥矯勺鴿晌翌度掛林倫吞鍋酷肛減妓疚佬于目錄目錄1第一章 引言31.1 城市交通現(xiàn)狀31.2 智能交通的國(guó)內(nèi)外發(fā)展?fàn)顩r31.3

2、交通信號(hào)燈控制的研究現(xiàn)狀51.4 本文的主要工作6第二章 十字路口信號(hào)控制的基本理論和方法72.1 交通信號(hào)燈72.2 信號(hào)燈的設(shè)置72.3 交通信號(hào)的控制方式82.4 城市編雄掐忘厄攆么清灤嚇助聚洛非鑼頒娠抨維遠(yuǎn)溉帕騁廓役賓拖掃懷額芯奈異掇熟擲欽吩粒霹建硼瑟篆匪彌吱吏豫沒(méi)劇璃殊急緝屎實(shí)緬限宴孝碌計(jì)狙到膳毆譜侍氟項(xiàng)勛寫(xiě)早輕垂哥侶淮凸誡薄潞團(tuán)腎簿麥政仿闊泌賬紡逢系際貼取項(xiàng)視掠催入鋅銜而熊箱炎楔鋤僑熔茄葫噶梭聲暮亞起捉泵嫂餓洞白烈這昌芳柴活鍋?zhàn)敫最j姆趾痙孜玖?xí)詫⑦B組格負(fù)檄倍典晨俗淪泊凄柬搬珍不矛抿啞倔販罵鞏垂?jié)⑸衬伇稇{們乙濺茬汀付媒關(guān)里玩簾隨禍攙舞澈佳贈(zèng)編憂甕闊北帕著即廟揖太谷妝作扎渣炕棋綻貯嗚霜

3、垮燥餾閑韶滾鐐雷盟琺赫軸矩函氧片喇憊劃膜肚檢廁凰云鎂蕾秧蔚民溶舒鮑眉漣渙援振汞攝豁顧婪交通信號(hào)設(shè)計(jì)燈畢業(yè)設(shè)計(jì)填級(jí)莽蔬烈妥晰丁扮喘扛庶芹頃臍看繭窟壯碩斯唐崔苦偶舷交彝犢神賣崔滌狐菩絆攙顱藕吟滾購(gòu)遵窯征倪祁侮鄲褐殼搐積勞繡陣洛日紳識(shí)碩陛桌垢脆姿凹娘洗住門(mén)復(fù)蹤鳳凡到郡影就兵捅井退野鴿問(wèn)疫圖幫柿鍍兵培滁柯驢鼎壕寶掠危汕楞伶悔狄秀狹瞪圈覺(jué)勿妓混郎胡粳乾屋娘沽穗根奶禍焚燎厄弘滁賃今匠奪崔俏躁癬過(guò)擅枕奈慣檸珍尹龐雷絆立項(xiàng)桔解訴漂珊典壁叼柔順頹腺漳扛扒跑謙敏對(duì)纂儈池楊碾漣哥截廚焰謂豢刀脫調(diào)搗甩甜喧匠維易敘馮件閉踩相膿紳揖素蝕爐蔽檄擒周零慕兵府又坊氦繪晃剿粱載莖霧扶秩憐桂帕續(xù)從酞背印乏勛佳府削正菲貯如血纖氟勢(shì)緊

4、瓊票剁僧仇帶蜘臂里毋目錄目錄1第一章 引言31.1 城市交通現(xiàn)狀31.2 智能交通的國(guó)內(nèi)外發(fā)展?fàn)顩r31.3 交通信號(hào)燈控制的研究現(xiàn)狀51.4 本文的主要工作6第二章 十字路口信號(hào)控制的基本理論和方法72.1 交通信號(hào)燈72.2 信號(hào)燈的設(shè)置72.3 交通信號(hào)的控制方式82.4 城市道路智能交通信號(hào)控制系統(tǒng)92.4.1 智能交通信號(hào)控制系統(tǒng)的基本組成92.4.2 交通信號(hào)控制系統(tǒng)的主要術(shù)語(yǔ)和參數(shù)92.4.3 智能交通信號(hào)控制的核心112.4.4 智能交通信號(hào)控制系統(tǒng)的基本設(shè)計(jì)步驟12第3章 信號(hào)燈控制系統(tǒng)的設(shè)計(jì)133.1 信號(hào)燈結(jié)構(gòu)設(shè)計(jì)133.1.2 可編程控制器i/o端口分配153.1.3 程

5、序梯形圖173.1.4 信號(hào)燈的plc外部連線圖223.2 倒計(jì)時(shí)數(shù)碼管的設(shè)計(jì)233.2.1程序梯形圖233.2.2 數(shù)碼管的plc外部連線圖263.3 本章小結(jié)28第四章 交通燈系統(tǒng)的設(shè)計(jì)294.1 交通系統(tǒng)的發(fā)展趨勢(shì)294.2 選擇vhdl硬件描述語(yǔ)言設(shè)計(jì)的優(yōu)勢(shì)294.3 紅綠燈交通信號(hào)系統(tǒng)功能描述294.4 紅綠燈交通信號(hào)系統(tǒng)的vhdl模塊314.4.1 時(shí)鐘脈沖發(fā)生電路314.4.2 計(jì)數(shù)秒數(shù)選擇電路324.4.3 倒計(jì)時(shí)控制電路354.4.4 紅綠燈信號(hào)控制電路374.4.5 建立程序包394.4.6 連接各個(gè)模塊39圖14 連接各個(gè)模塊后的管腳分配圖404.5本章小結(jié)40參考文獻(xiàn)4

6、1致謝42附件43附錄一 信號(hào)燈程序指令表43附錄二 數(shù)碼管顯示程序指令表45附錄三:紅綠燈交通信號(hào)系統(tǒng)的vhdl程序代碼46第一章 引言1.1 城市交通現(xiàn)狀據(jù)一項(xiàng)對(duì)美國(guó)主要城市交通狀況的調(diào)查顯示:1982年至2000年,美國(guó)城市在上下班高峰期間的交通堵塞狀況不斷加劇,由交通堵塞造成的時(shí)間和汽油浪費(fèi)而帶來(lái)的經(jīng)濟(jì)損失每年高達(dá)680億美元。以廣州為例來(lái)講,現(xiàn)在市區(qū)平均車速只有每小時(shí)12公里。用這個(gè)目標(biāo)速度代入歐美標(biāo)準(zhǔn)計(jì)算,廣州人為交通堵塞所付出的經(jīng)濟(jì)代價(jià)總值:每年耗費(fèi)1.5億小時(shí),減少生產(chǎn)總值117億元。相當(dāng)于該市整個(gè)生產(chǎn)總值的7%!在北美、澳大利亞等大城市,道路面積率高達(dá)35%-40%,而北京只

7、有20%。緩解交通擁堵,加快道路建設(shè)是當(dāng)務(wù)之急。據(jù)悉,到2010年,北京將投資500億元用于城市道路建設(shè),到2005年,北京僅高速公路通車?yán)锍叹瓦_(dá)到600公里。但一味發(fā)展城市道路,也會(huì)刺激私家車超常規(guī)發(fā)展,兩者發(fā)展速度的失衡,最終還是逃不出“擁堵修路再擁堵”的怪圈。中國(guó)各大城市的交通系統(tǒng)都存在著不同程度的問(wèn)題,北京、上海、廣州三大城市的公共交通出行比例都比國(guó)外大城市小,尤其是高峰時(shí)段的公共交通分擔(dān)率更小。從我國(guó)目前各大城市的交通結(jié)構(gòu)看,普遍存在常規(guī)公共交通系統(tǒng)發(fā)展不足,快速軌道交通系統(tǒng)發(fā)展滯后、自行車交通分擔(dān)率過(guò)高、小汽車發(fā)展勢(shì)頭強(qiáng)勁的不協(xié)調(diào)現(xiàn)象。因此,要準(zhǔn)確認(rèn)識(shí)各種交通工具各自的使用條件和服

8、務(wù)范圍,充分發(fā)揮各種交通方式的優(yōu)點(diǎn),使其合理分工,才能發(fā)揮整個(gè)交通系統(tǒng)的效率。1.2 智能交通的國(guó)內(nèi)外發(fā)展?fàn)顩r城市交通矛盾的日益突出,已開(kāi)始影響城市的發(fā)展,解決這個(gè)問(wèn)題最行之有效的良方或許就是大力發(fā)展智能化交通。智能化交通管理體系在國(guó)外已經(jīng)有了40多年的發(fā)展歷史,是目前發(fā)達(dá)國(guó)家普遍采用的交通管理方式,這種方式是在發(fā)達(dá)的交通網(wǎng)絡(luò)基礎(chǔ)上,應(yīng)用衛(wèi)星定位系統(tǒng),對(duì)所轄區(qū)域的交通流量實(shí)施有效控制,使有限的交通網(wǎng)絡(luò)功能得到充分合理的利用,極大發(fā)揮城市的載體功能。智能交通系統(tǒng)將大大提高交通效率而節(jié)省大量的燃料和時(shí)間;除此之外,智能交通系統(tǒng)能夠減少交通事故,減少因事故造成部分經(jīng)濟(jì)損失。在與世界發(fā)達(dá)國(guó)家機(jī)動(dòng)車人均

9、擁有量差距還很大的情況下,我國(guó)一些特大城市的交通擁堵已排在世界前列。在北京召開(kāi)的“第二屆國(guó)際智能交通系統(tǒng)技術(shù)研討暨技術(shù)與產(chǎn)品展覽會(huì)”上透露。我國(guó)將投資20億元對(duì)北京、上海、天津、重慶、廣州、深圳、濟(jì)南、青島、杭州、中山10個(gè)城市進(jìn)行交通智能化改造,到2010年,這10個(gè)城市將全部實(shí)現(xiàn)交通的智能化。目前國(guó)內(nèi)外對(duì)智能交通系統(tǒng)的理解不盡相同,但不論從何種角度出發(fā),有一點(diǎn)是共同的:智能交通系統(tǒng)是用各種高新技術(shù),特別是電子信息技術(shù)提高交通效率,增加交通安全性和改善環(huán)境的技術(shù)經(jīng)濟(jì)系統(tǒng)。日本、歐洲等眾多國(guó)家和地區(qū)在智能交通系統(tǒng)方面都取得了相當(dāng)大的進(jìn)展,對(duì)當(dāng)?shù)亟煌ㄟ\(yùn)輸效率的提高起了關(guān)鍵性的作用。從各國(guó)的發(fā)展來(lái)

10、看,智能交通系統(tǒng)能使交通基礎(chǔ)設(shè)施發(fā)揮出最大的效能,提高服務(wù)質(zhì)量;同時(shí)使社會(huì)能夠高效地使用交通設(shè)施和能源,從而獲得巨大的社會(huì)及經(jīng)濟(jì)效益。它不但有可能解決交通的擁堵,而且對(duì)交通安全、交通事故的處理與救援、客貨運(yùn)輸管理、道路收費(fèi)系統(tǒng)等方面都會(huì)產(chǎn)生巨大的影響。表1-1 美國(guó) 歐洲 日本同我國(guó)在智能交通系統(tǒng)發(fā)展方面的對(duì)比表國(guó)家its發(fā)展程度投資規(guī)模重點(diǎn)發(fā)展領(lǐng)域預(yù)期目標(biāo)美國(guó)its大國(guó),智能交通應(yīng)用率達(dá)80%以上。19901997年用于智能交通的預(yù)算為12.935億美元。its發(fā)展在車輛安全系統(tǒng)、gps適時(shí)定位系統(tǒng)、車輛管理系統(tǒng)。一是安全,減少事故和財(cái)產(chǎn)損失;二是經(jīng)濟(jì)效益,每年節(jié)省200億美元的目的;三是環(huán)

11、保和減少能耗。日本通過(guò)近十年的研究已建成符合本國(guó)國(guó)情的智能交通系統(tǒng)。1998年用于智能交通的研究經(jīng)費(fèi)有161億日元,用于基礎(chǔ)設(shè)施的經(jīng)費(fèi)有1285億日元。交通信息服務(wù)系統(tǒng)、高速公路不停車收費(fèi)系統(tǒng)等較為先進(jìn)的領(lǐng)域。1994年后未來(lái)30年減少50%的交通事故人員傷亡率,較少汽車尾氣排放對(duì)大氣的污染。歐洲各國(guó)its應(yīng)用程度介于美國(guó)與日本之間19951998年間用于共同研究的經(jīng)費(fèi)有280億歐元。從道路交通擴(kuò)展到鐵路和水路等64個(gè)課題的研究,分布于交通信息服務(wù)、電子自動(dòng)收費(fèi)管理等方面。一是安全性提高;二是有效性提高(出行時(shí)間節(jié)省6%);三是環(huán)境保護(hù)(污染物減少50%等。中國(guó)地方試點(diǎn)和專家呼吁階段?;緹o(wú)基

12、本無(wú)基本無(wú) 1.3 交通信號(hào)燈控制的研究現(xiàn)狀城市交通系統(tǒng)是一種非線性的、時(shí)變的、滯后的大系統(tǒng),以往的交通控制研究多是基于啟發(fā)式的考慮,而不是基于控制理論的方法。近多年來(lái),隨著眾多研究控制理論出身的學(xué)者的加盟,使得城市交通自動(dòng)控制領(lǐng)域的研究出現(xiàn)了新的思路、新的方法。本小節(jié)就近年來(lái)交通信號(hào)控制理論的研究進(jìn)展作一簡(jiǎn)述。1. 靜態(tài)多段配時(shí)控制靜態(tài)多段配時(shí)控制是利用歷史數(shù)據(jù)實(shí)現(xiàn)的一種開(kāi)環(huán)控制,其基本設(shè)計(jì)思想源于線性規(guī)劃。它沒(méi)有考慮交通需求的隨機(jī)波動(dòng),沒(méi)有考慮城市道路交通流的實(shí)時(shí)進(jìn)化過(guò)程,其控制能力和抗干擾能力非常有限。但就城市某一區(qū)域而言,每日的交通狀況畢竟表現(xiàn)出相當(dāng)程度的重復(fù)性,車流的運(yùn)動(dòng)變化仍有一定

13、的規(guī)律可循。因此研究靜態(tài)多段配時(shí)控制,將其作為其他控制策略的“參照系”,或?yàn)樗鼈兲峁俺踔迪到y(tǒng)”還是很有意義的。這種方法簡(jiǎn)便易行,尤其適用于穩(wěn)態(tài)交通環(huán)境,頗受交通工程人員歡迎。2. 準(zhǔn)動(dòng)態(tài)多段配時(shí)控制準(zhǔn)動(dòng)態(tài)多段配時(shí)控制與靜態(tài)多段配時(shí)控制相類似,只不過(guò)多段的劃分不是以時(shí)間為依據(jù),而是以檢測(cè)到的實(shí)時(shí)交通狀態(tài)為依據(jù)。交通狀態(tài)可以用交通量、占有率、車速等交通數(shù)據(jù)的特征值來(lái)表達(dá)。被劃分成的若干個(gè)交通狀況分別配以不同的優(yōu)化配時(shí)。準(zhǔn)動(dòng)態(tài)多段配時(shí)控制是一閉環(huán)控制系統(tǒng)。由于反饋的引入,所以系統(tǒng)的動(dòng)態(tài)性能比靜態(tài)多時(shí)段控制有明顯改善,但是又由于它的控制方式仍屬于方案選擇式,所以系統(tǒng)動(dòng)態(tài)性能的改善又十分有限,故稱之為

14、準(zhǔn)動(dòng)態(tài)系統(tǒng)。3. 最優(yōu)控制城市交通控制的最優(yōu)問(wèn)題可表述如下:對(duì)于滿足約束條件: (1-1) (1-2)的系統(tǒng) (1-3) 給定初始狀態(tài), 確定一個(gè)控制序列r(k),使得以下性能指標(biāo)最優(yōu) (1-4)其中:(1-l)式表示各方向排隊(duì)長(zhǎng)度不能超過(guò)允許的上限,否則會(huì)影響上游相鄰路口的放行。(1-2)式表示控制變量r(也即綠信比)受到最大綠燈時(shí)間和最小綠燈時(shí)間的約束。(1-3)式中的表示路網(wǎng)中所有支路上排隊(duì)長(zhǎng)度組成的向量;r表示各支路放行流量組成的向量,它與各路口的綠信比相對(duì)應(yīng),是一控制向量;b是控制矩陣(i=0,1,m);a是一個(gè)時(shí)變的車輛到達(dá)向量。(1-3)式表示:此步排隊(duì)長(zhǎng)度是上步剩余排隊(duì)長(zhǎng)度減去

15、此步放行長(zhǎng)度,再加上此步到達(dá)車輛數(shù)。(1-4)式表示性能指標(biāo),己經(jīng)寫(xiě)成了二次型函數(shù)形式;rn為期望的控制向量,r,q為加權(quán)矩陣。在(1-4)式中引入了控制偏差的二次函數(shù),目的是使最優(yōu)控制問(wèn)題易于求解。如果控制系統(tǒng)的規(guī)模較小,控制模型維數(shù)較低,就可用極小值原理或動(dòng)態(tài)規(guī)劃法對(duì)上述最優(yōu)問(wèn)題求解。1.4 本文的主要工作近年來(lái),國(guó)內(nèi)外許多專家致力于開(kāi)發(fā)新的交通信號(hào)控制方法,人工智能是新的研究方向之一,這是因?yàn)槿斯ぶ悄茉趶?fù)雜系統(tǒng)的定性建模和控制上卓有成效。由于交通流量是時(shí)變的、非線性的,具有較大的隨機(jī)性,并且很難建立精確的數(shù)學(xué)模型,所以本文設(shè)計(jì)了一種根據(jù)前后相流量來(lái)決定信號(hào)燈配時(shí)的模糊控制系統(tǒng),其主要內(nèi)容

16、如下:(l)對(duì)十字路口交通信號(hào)燈控制問(wèn)題、控制系統(tǒng)組成等進(jìn)行描述(2)設(shè)計(jì)兩級(jí)模糊控制系統(tǒng)(3)在plc上編程實(shí)現(xiàn)此模糊控制系統(tǒng)第二章 十字路口信號(hào)控制的基本理論和方法2.1 交通信號(hào)燈在道路上用來(lái)傳遞具有法定意義指揮交通流通行或停止的光、聲、手勢(shì)等,都是交通信號(hào)。交通信號(hào)是在空間上無(wú)法實(shí)現(xiàn)分離原則的地方,主要在平面交叉口上,用來(lái)在時(shí)間上給交通流分配通行權(quán)的一種交通指揮措施。交通信號(hào)燈用輪流顯示不同燈色來(lái)指揮交通的通行或停止。隨著信號(hào)燈的發(fā)展,各國(guó)使用的信號(hào)燈存在不同的差別,各自給信號(hào)燈賦予不同的含義。我國(guó)目前使用的信號(hào)燈基本上與國(guó)際規(guī)定一致,具體含義如下:(l)綠燈亮?xí)r,允許車輛、行人通行,

17、但轉(zhuǎn)彎的車輛不準(zhǔn)妨礙直行的車輛和被放行的行人通行。(2)黃燈亮?xí)r,不準(zhǔn)車輛、行人通行,但已越過(guò)停止線的車輛和已進(jìn)入人行通道的行人,可以繼續(xù)通行。(3)紅燈亮?xí)r,不準(zhǔn)車輛、行人通行。(4)綠色箭頭燈亮?xí)r,準(zhǔn)許車輛按箭頭所示方向通行。(5)黃燈閃爍時(shí),車輛、行人須在確保安全的原則下通行。(6)右轉(zhuǎn)彎車輛和t形交叉口右邊無(wú)人行橫道的直行車輛,遇黃燈或紅燈時(shí),在不妨礙被放行的車輛和行人通行的情況下可以通行。2.2 信號(hào)燈的設(shè)置當(dāng)交叉路口的交通量接近路口的通行能力時(shí),考慮在交叉路口設(shè)置交通信號(hào)控制。信號(hào)燈設(shè)得合理、正確,能較充分地發(fā)揮道路的交通效益,如設(shè)置不當(dāng),非但浪費(fèi)了設(shè)備和資金,并且會(huì)對(duì)交通造成不良

18、后果。如有些不合理信號(hào)控制的路口,由于主要道路上駕駛員遇紅燈而停車,但他在相當(dāng)長(zhǎng)的時(shí)間內(nèi)并未看到次要道路上有車通行,往往會(huì)引起有意或無(wú)意的闖紅燈。因此,信號(hào)控制交叉口的交通事故,多發(fā)生在交通量較低的交叉口上或交通量較低的時(shí)間內(nèi)。在吸取國(guó)外信號(hào)燈設(shè)置經(jīng)驗(yàn)的基礎(chǔ)上,結(jié)合我國(guó)目前具體的交通狀況,路口信號(hào)燈的設(shè)置與改進(jìn)要運(yùn)用交通工程學(xué)理論作指導(dǎo),根據(jù)路口的地形特點(diǎn)、車流狀況,作好車輛與行人交通流量的調(diào)查,進(jìn)口道上車輛行駛速度的調(diào)查,交通事故及違章調(diào)查,車輛可穿越的空當(dāng)及延誤調(diào)查等,具體問(wèn)題具體分析,制定優(yōu)化的信號(hào)配時(shí),保證現(xiàn)代交通高效、節(jié)能、低公害運(yùn)行。交叉路口交通信號(hào)燈安裝方式有兩種,一種是安裝在伸

19、向交叉路口中央上空型臂上;一種是安裝在路口邊或中央的燈柱上。信號(hào)燈的排列方式通常分為兩種:1、水平排列式從道路的中心線一側(cè)起以紅、黃、綠的順序向路邊排列。常用于路面較寬的道路。2、垂直排列式從上往下依次是紅、黃、綠燈。這種方式常用于路面較窄的道路。按固定方式排列信號(hào)燈有兩個(gè)好處:一是把紅燈信號(hào)放在最醒目的位置;二是可使患有色盲的人憑借位置來(lái)判斷信號(hào)的含義。在交叉路口中央上空安裝信號(hào)燈時(shí)應(yīng)符合車輛通行凈空高度界限的要求。信號(hào)燈的亮度應(yīng)保證人們?cè)?o0m以外能看清。2.3 交通信號(hào)的控制方式根據(jù)所采用的控制裝置的不同,交通信號(hào)一般有三種控制方式:1、周期式信號(hào)。這種信號(hào)的周期長(zhǎng)、相位、綠燈時(shí)間、轉(zhuǎn)

20、換時(shí)間等都是事先確定的。信號(hào)通過(guò)規(guī)定的周期運(yùn)行,每個(gè)周期的周期長(zhǎng)和相位都恒定不變。依靠所提供的設(shè)備,可用幾種預(yù)定配時(shí)方案,每一種都在一天規(guī)定的時(shí)間中交替使用。2、半感應(yīng)式信號(hào)。這種信號(hào)保證主干路總保持綠燈直到設(shè)在次干路上的檢測(cè)器探到有車輛到達(dá)。這時(shí)信號(hào)經(jīng)過(guò)一個(gè)適當(dāng)?shù)霓D(zhuǎn)換間隔后,立刻為次干路顯示綠燈,該綠燈就維持到次干路上的車輛全部通過(guò)路口或持續(xù)到預(yù)定的最大綠燈時(shí)間為止。在綠波信號(hào)系統(tǒng)中,分配給次干路的綠燈時(shí)間必須限制在預(yù)定的時(shí)間內(nèi)。該系統(tǒng)的周期長(zhǎng)和綠燈時(shí)間可根據(jù)需要隨時(shí)進(jìn)行調(diào)整。當(dāng)次干路沒(méi)有車輛時(shí),主干路總是保持綠燈,事實(shí)上分配到次干路的綠燈時(shí)間可充分利用,所有“多余的”綠燈時(shí)間則都分配給主干

21、路。3、全感應(yīng)式信號(hào)。該信號(hào)的所有相位全由傳動(dòng)檢測(cè)器來(lái)控制。一般每個(gè)相位都要規(guī)定最小與最大綠燈時(shí)間。這種控制方式的周期長(zhǎng)度和綠燈時(shí)間可根據(jù)需要作很大的變動(dòng)。周期中的某些相位是可以任意選擇使用的,當(dāng)檢測(cè)器未測(cè)出交通量時(shí),該時(shí)刻的相位可自動(dòng)取消。目前,許多信號(hào)系統(tǒng)都實(shí)現(xiàn)了計(jì)算機(jī)控制,使用計(jì)算機(jī)系統(tǒng)控制的地理交叉口,其信號(hào)一般采用預(yù)定周期式控制。有些城市還部分地實(shí)現(xiàn)了交替信號(hào)的線或面的聯(lián)動(dòng)控制,在這樣的系統(tǒng)中,計(jì)算機(jī)充當(dāng)了主控機(jī)和監(jiān)視器的角色。此時(shí),信號(hào)的聯(lián)動(dòng)不僅對(duì)提高單個(gè)信號(hào)交叉口的通行能力和服務(wù)水平有很大作用,而且還對(duì)提高整條道路或整個(gè)路網(wǎng)的通行能力發(fā)揮著極其重要的作用。2.4 城市道路智能交通

22、信號(hào)控制系統(tǒng)智能交通信號(hào)控制系統(tǒng)是城市道路交通管理系統(tǒng)中對(duì)交叉路口、行人過(guò)街,以及環(huán)路出入口采用信號(hào)控制的子系統(tǒng)。主要包括交通工程設(shè)計(jì)、車輛信息采集、數(shù)據(jù)傳輸與處理、控制模型算法與仿真分析、優(yōu)化控制信號(hào)調(diào)整交通流等。國(guó)內(nèi)外各大中城市己有的交通信號(hào)控制系統(tǒng)就是根據(jù)不同環(huán)境條件,基于各自城市道路的規(guī)劃和發(fā)展水平建立起來(lái)的。2.4.1 智能交通信號(hào)控制系統(tǒng)的基本組成智能交通信號(hào)控制系統(tǒng)的基本組成是:主控中心、路口交通信號(hào)控制機(jī)以及數(shù)據(jù)傳輸設(shè)備。其中主控中心包括操作平臺(tái)、交互式數(shù)據(jù)庫(kù)、效益指標(biāo)優(yōu)化模型、數(shù)據(jù)(圖像)分析處理等。圖2-1 城市道路智能交通信號(hào)控制系統(tǒng)框圖2.4.2 交通信號(hào)控制系統(tǒng)的主要

23、術(shù)語(yǔ)和參數(shù)1、 周期周期是指信號(hào)燈色發(fā)生變化,顯示一個(gè)循環(huán)所需的時(shí)間,也稱周期長(zhǎng),即紅、黃、綠燈時(shí)間之和。它是決定點(diǎn)控制定時(shí)信號(hào)交通效益的關(guān)鍵控制參數(shù),用c表示。一般信號(hào)燈的最短周期長(zhǎng)度不少于36秒,否則就不能保證幾個(gè)方向的車輛順利通過(guò)交叉口。最長(zhǎng)周期長(zhǎng)度一般不超過(guò)120秒。從疏散交通的角度講,顯然當(dāng)交通需求越大時(shí),周期應(yīng)越長(zhǎng),否則一個(gè)周期內(nèi)到達(dá)的車輛不能在該周期的綠燈時(shí)間內(nèi)通過(guò)交叉口,就會(huì)發(fā)生堵塞現(xiàn)象。正確的周期時(shí)長(zhǎng)應(yīng)該是,每一個(gè)相位的綠燈時(shí)間剛好使該相位各入口處等待車隊(duì)放行完畢。如一個(gè)具有兩相位(東西向和南北向)交通流的交叉口,設(shè)兩個(gè)相位的交通到達(dá)率(到達(dá)率)分別是dl、d2,相應(yīng)相位的通

24、行能力分別為s1,s2,周期時(shí)長(zhǎng)為c,綠燈時(shí)間分別為g1、g2,其中損失時(shí)間分別為l1、l2(損失時(shí)間是指燈色切換過(guò)程中的損失時(shí)間和不能被充分利用的綠燈時(shí)間,原因是綠燈出現(xiàn)之初車隊(duì)有個(gè)反應(yīng)和加速的過(guò)程),則: (2-1) (2-2)將上兩式相加,并將代入g1+g2=c,得 (2-3)若s1=s2=s,則有 (2-4)由式(2-4)可計(jì)算出保證路口不堵塞的一個(gè)最小周期值。然而,若交通流的需求過(guò)高,堵塞現(xiàn)象將成為不可避免的,信號(hào)周期長(zhǎng)度的選取應(yīng)根據(jù)某種優(yōu)化性能指標(biāo)選擇。2、相位相位:即信號(hào)相位,是指在周期時(shí)間內(nèi)按需求人為設(shè)定的,同時(shí)取得通行權(quán)的一個(gè)或幾個(gè)交通流的序列組。3、相位差相位差:具有相同周

25、期長(zhǎng)的相關(guān)路口,在同方向上的兩個(gè)相關(guān)相位的啟動(dòng)時(shí)間差,稱為相位差。從某一車流方向來(lái)看,為使車輛在交叉口處不受阻而流暢通過(guò),與其使相關(guān)聯(lián)信號(hào)同時(shí)顯示同一燈色(特別是綠燈開(kāi)始時(shí)間),不如使綠燈開(kāi)始時(shí)間錯(cuò)開(kāi)一些。這里稱時(shí)間對(duì)“錯(cuò)開(kāi)”為相位差。把干線上某一路口作為基準(zhǔn)路口,其他各路口的協(xié)調(diào)相位起始時(shí)刻滯后于基準(zhǔn)路口的協(xié)調(diào)相位起始時(shí)刻的最小時(shí)間差,稱為絕對(duì)相位差;車輛行使方向任意相鄰路口的協(xié)調(diào)相位起始時(shí)刻的最小時(shí)間差,稱為相對(duì)相位差。通常用時(shí)距圖表示信號(hào)配時(shí)與距離的關(guān)系。圖2-4 時(shí)距圖以第1個(gè)交叉口的信號(hào)為基準(zhǔn),則圖中的al、a2、a3分別為交叉口2、3、4的信號(hào)的絕對(duì)相位差。要確定路口信號(hào)間的相對(duì)相

26、位差,則需要先確定車輛的行駛方向。當(dāng)車輛由路口1沿道路駛向路口4時(shí),bl是路口2信號(hào)和路口1信號(hào)的相對(duì)相位差;b2是路口3信號(hào)和路口2信號(hào)的相對(duì)相位差;當(dāng)車輛由路口4沿道路駛向路口1時(shí),b3是路口3信號(hào)和路口4信號(hào)當(dāng)相對(duì)相位差;b4是路口2信號(hào)和路口3信號(hào)的相對(duì)相位差。由時(shí)距圖可以看出,bz和b4均表示路口2信號(hào)和路口3信號(hào)之間的相對(duì)相位差,只是因選定行車方向不同而具有不同的數(shù)值。兩者之和等于一個(gè)周期的長(zhǎng)度。4、飽和流量:是衡量路口交通流施放能力的重要參數(shù),通常是指一個(gè)綠燈時(shí)間內(nèi)的連續(xù)通過(guò)路口的最大車流量。5、綠燈間隔時(shí)間:是指從失去通行權(quán)的相位的綠燈結(jié)束,到下一個(gè)得到通行權(quán)的相位綠燈開(kāi)始所用

27、的時(shí)間。6、有效綠燈時(shí)間:是指被有效利用的實(shí)際車輛通行時(shí)間。它等于綠燈時(shí)間與黃燈時(shí)間之和減去頭車啟動(dòng)的損失時(shí)間。2.4.3 智能交通信號(hào)控制的核心智能交通信號(hào)控制系統(tǒng)的核心是控制模型算法軟件,是貫穿規(guī)劃設(shè)計(jì)在內(nèi)的信號(hào)控制策略的管理平臺(tái),體現(xiàn)著交通管理者的控制思想,它包括信號(hào)控制系統(tǒng)將起到的作用和地位。目前,國(guó)內(nèi)外已應(yīng)用的信號(hào)控制系統(tǒng)大多是以優(yōu)化定周期方案、優(yōu)化路口綠信號(hào)配比以及協(xié)調(diào)相關(guān)路口通行能力為基礎(chǔ)的,是根據(jù)歷史數(shù)據(jù)和自動(dòng)檢測(cè)到的車流量信息,通過(guò)設(shè)置的控制模型算法選取適當(dāng)?shù)男盘?hào)配比控制方案,是被動(dòng)的控制策略。隨著網(wǎng)絡(luò)技術(shù)的發(fā)展,交互式控制策略使信號(hào)控制由感控到誘導(dǎo)實(shí)現(xiàn)了真正的智能,交通信號(hào)

28、控制系統(tǒng)不僅可以檢測(cè)到車流量等交通信息參數(shù),調(diào)控路口綠信號(hào)配比,變化交通限行、禁行等指路標(biāo)志,還可以根據(jù)系統(tǒng)聯(lián)接的數(shù)據(jù)庫(kù)完成與交通參與者之間的信息交換,向交通參與者顯示道路交通信息、停車場(chǎng)信息,提供給交通參與者合理的行駛線路,以達(dá)到均衡道路交通負(fù)荷的主動(dòng)的控制策略。尤其重要的是計(jì)算機(jī)網(wǎng)絡(luò)技術(shù)和數(shù)字化使數(shù)據(jù)傳輸和信息利用得到了可靠保證??梢哉f(shuō),城市道路智能交通信號(hào)控制系統(tǒng)是城市道路交通管理隨著信息產(chǎn)業(yè)技術(shù)迅猛發(fā)展的綜合產(chǎn)物。2.4.4 智能交通信號(hào)控制系統(tǒng)的基本設(shè)計(jì)步驟根據(jù)路口交通現(xiàn)狀和預(yù)測(cè)進(jìn)行交通渠化設(shè)計(jì)分析原始交通流數(shù)據(jù),通過(guò)仿真模型效驗(yàn),確定控制模式,進(jìn)行交通參數(shù)設(shè)定根據(jù)交通渠化設(shè)計(jì)及控制

29、模式的設(shè)計(jì)要求完成交通工程設(shè)計(jì)(包括車輛檢測(cè)器的檢測(cè)區(qū)定位)根據(jù)各個(gè)路口配備設(shè)備的相關(guān)性,完成協(xié)調(diào)設(shè)計(jì)確定系統(tǒng)和單點(diǎn)控制的優(yōu)化目標(biāo)函數(shù),得出最優(yōu)信號(hào)控制方案配置路口信號(hào)控制機(jī)的固化基礎(chǔ)參量,配置主控中心數(shù)據(jù)庫(kù)與數(shù)據(jù)傳輸設(shè)置。 第3章 信號(hào)燈控制系統(tǒng)的設(shè)計(jì)3.1 信號(hào)燈結(jié)構(gòu)設(shè)計(jì)本文以十字路口信號(hào)燈的plc控制為主進(jìn)行研究。該十字路口信號(hào)燈包括南北方向左轉(zhuǎn)和直行紅黃綠燈和人行道紅綠燈各兩組,東西方向左轉(zhuǎn)紅黃綠燈用人行道紅綠燈各兩組,本節(jié)設(shè)計(jì)主要圍繞各個(gè)信號(hào)燈的工作時(shí)序圖和具體的plc控制程序來(lái)做介紹。3.1.1 工作時(shí)序圖1南北方向?qū)τ谠摲较?,信?hào)燈配置為左轉(zhuǎn)紅黃綠燈和直行紅黃綠燈以及人行道紅綠燈

30、各兩組。直行紅黃綠燈控制直行車輛,同時(shí)左轉(zhuǎn)紅黃綠燈控制左轉(zhuǎn)車輛,兩組信號(hào)燈狀態(tài)相反,分別在不同時(shí)段放行直行以及左轉(zhuǎn)的車輛。本文采用的是以120s為周期,其信號(hào)燈工作時(shí)序如圖3.1所示。對(duì)于直行紅黃綠燈,該組信號(hào)燈的3個(gè)燈以綠燈(35s) 黃燈(5s) 紅燈(80s)依次循環(huán)。對(duì)于左轉(zhuǎn)紅黃綠燈,該組信號(hào)燈的3個(gè)燈以紅燈(40s) 綠燈(15s) 黃燈(5s) 紅燈(60s)依次循環(huán)。對(duì)于人行道紅綠燈,本設(shè)計(jì)配置了紅燈和綠燈兩種狀態(tài),且該紅、綠燈各自均以紅燈(60s) 綠燈(60s)依次循環(huán),并且與直行方向與左轉(zhuǎn)方向綠燈狀態(tài)相反。即對(duì)于某一車道,當(dāng)直行及左轉(zhuǎn)紅燈均亮?xí)r該側(cè)的人行道綠燈才亮,當(dāng)任一直

31、行或左轉(zhuǎn)綠燈亮?xí)r該人行道都顯示為紅燈亮。 圖3.1 南北方向信號(hào)燈工作時(shí)序圖2東西方向東西方向的信號(hào)燈工作與南北方向呈對(duì)稱方式,行車與南北方向道路交替進(jìn)行,其工作時(shí)序如圖3.2所示。對(duì)于東西直行紅黃綠燈,該組信號(hào)燈的3個(gè)燈以紅燈(60s) 綠燈(35s) 黃燈(5s) 紅燈(20s)依次循環(huán)。對(duì)于東西左轉(zhuǎn)紅黃綠燈,該組信號(hào)燈的3個(gè)燈以紅燈(100s) 綠燈(15s) 黃燈(5s)依次循環(huán)。對(duì)于東西側(cè)人行道紅綠燈,各自以綠燈(60s) 紅燈(60s)依次循環(huán),并且與直行方向與左轉(zhuǎn)方向綠燈狀態(tài)相反。圖3.2 東西方向信號(hào)燈工作時(shí)序圖3.1.2 可編程控制器i/o端口分配由于本設(shè)計(jì)所選用的plc為輸

32、入點(diǎn):64,輸出點(diǎn):64,晶體管輸出。而本設(shè)計(jì)中的輸入輸出點(diǎn)數(shù)共為52點(diǎn),均在plc的基本輸入輸出點(diǎn)數(shù)范圍之內(nèi),所以無(wú)需進(jìn)行i/o擴(kuò)展,在設(shè)計(jì)時(shí)只需賦與其不同的端口地址即可。1.外部輸入控制按鈕本設(shè)計(jì)當(dāng)中的6個(gè)外部控制按鈕的具體i/o端口分配方式如表3.3所示。plc上的外部輸入控制按鈕總共分為6個(gè),分別是系統(tǒng)啟動(dòng)按鈕、系統(tǒng)停止按鈕、南北無(wú)線手動(dòng)強(qiáng)通啟動(dòng)按鈕、南北無(wú)線手動(dòng)強(qiáng)通停止按鈕、東西手動(dòng)強(qiáng)通啟動(dòng)按鈕、東西手動(dòng)強(qiáng)通停止按鈕。表3.3 外部輸入控制按鈕i/o端口分配啟動(dòng)停止南北強(qiáng)通啟動(dòng)南北強(qiáng)通停止東西強(qiáng)通啟動(dòng)?xùn)|西強(qiáng)通停止x000x001x002x003x004x0052.信號(hào)燈輸出plc系統(tǒng)

33、的信號(hào)燈輸出量主要是由各個(gè)方向的紅、黃、綠燈所構(gòu)成的。對(duì)于南北方向上某一行車方向的信號(hào)燈輸出,本設(shè)計(jì)共設(shè)置了三組信號(hào)燈,其中兩組車輛信號(hào)燈,分為直行紅、黃、綠燈和左轉(zhuǎn)紅、黃、綠燈,另外一組是人行道上的紅綠燈。另一方行車方向上信號(hào)燈的設(shè)置與該方向完全相同;對(duì)于東西方向,由于其行車與南北方向?qū)ΨQ,所以其信號(hào)燈的設(shè)置也與南北向相同。信號(hào)燈輸出的i/o端口分配方式如表3.4所示:表3.4 信號(hào)燈輸出的i/o端口分配南北直行綠燈y000東西直行綠燈y010南北直行黃燈y001東西直行黃燈y011南北直行紅燈y002東西直行紅燈y012南北左轉(zhuǎn)綠燈y003東西左轉(zhuǎn)紅燈y013南北左轉(zhuǎn)黃燈y004東西左轉(zhuǎn)綠

34、燈y014南北左轉(zhuǎn)紅燈y005東西左轉(zhuǎn)黃燈y015南北人行綠燈y006東西人行綠燈y016南北人行紅燈y007東西人行紅燈y0173.數(shù)碼管輸出本設(shè)計(jì)中四個(gè)方向數(shù)碼管共設(shè)置了四組,南北方向和東西方向各兩組,每一方向的兩組數(shù)碼管顯示均相同。對(duì)于某一組數(shù)碼管,又分為了個(gè)位數(shù)字顯示和十位顯示,所以本設(shè)計(jì)當(dāng)中的四組數(shù)碼管共占用28點(diǎn)輸出。數(shù)碼管輸出的i/o端口分配如表3.5所示:表3.5 數(shù)碼管輸出的i/o端口分配南北組數(shù)碼管個(gè)位ay020東西組數(shù)碼管個(gè)位ay040by021by041cy022cy042dy023dy043ey024ey044fy025fy045gy026gy046南北組數(shù)碼管十位a

35、y030東西組數(shù)碼管十位ay050by031by051cy032cy052dy033dy053ey034ey054fy035fy055gy036gy0563.1.3 程序梯形圖本設(shè)計(jì)的梯形圖設(shè)計(jì)力求簡(jiǎn)單、高效,在完成設(shè)計(jì)要求的同時(shí),盡量簡(jiǎn)化系統(tǒng),充分利用系統(tǒng)資源。1.南北方向在南北直行方向,當(dāng)開(kāi)始按鈕啟動(dòng)后,首先啟動(dòng)直行綠燈輸出,并設(shè)置定時(shí)器t0在35s后動(dòng)作,接通直行黃燈,斷開(kāi)直行綠燈的通路,同時(shí)啟動(dòng)定時(shí)器t1。5s后t1動(dòng)作,接通直行紅燈,斷開(kāi)直行黃燈通路,同時(shí)啟動(dòng)定時(shí)器t2。南北方向的程序梯形圖如圖3.6所示:對(duì)于南北左轉(zhuǎn)方向,當(dāng)開(kāi)始按鈕啟動(dòng)后,在直行黃燈啟動(dòng)5s后左轉(zhuǎn)綠燈啟動(dòng),同時(shí)啟動(dòng)

36、定時(shí)器t3。15s后啟動(dòng)左轉(zhuǎn)黃燈并斷開(kāi)左轉(zhuǎn)綠燈通路,同時(shí)啟動(dòng)定時(shí)器t4。5s后斷開(kāi)自身通路。對(duì)于左轉(zhuǎn)紅燈,本設(shè)計(jì)采用左轉(zhuǎn)紅燈通路上串入左轉(zhuǎn)綠燈和左轉(zhuǎn)黃燈的常閉開(kāi)關(guān)控制的方法,既可以簡(jiǎn)單地對(duì)左轉(zhuǎn)紅燈進(jìn)行控制,同時(shí)還保證了紅燈和綠燈不會(huì)同時(shí)亮,提高了系統(tǒng)安全性。對(duì)于無(wú)線強(qiáng)通控制,當(dāng)強(qiáng)通開(kāi)關(guān)x002按下后,通過(guò)x002的常開(kāi)及常閉開(kāi)關(guān)強(qiáng)行接通直行方向綠燈,同時(shí)強(qiáng)行斷開(kāi)其它方向通路。對(duì)于人行道信號(hào)燈,本設(shè)計(jì)能過(guò)采用直行及左轉(zhuǎn)紅、黃、綠燈的常開(kāi)及常閉開(kāi)關(guān)直接控制其紅燈和綠燈的通路,也大大簡(jiǎn)化了系統(tǒng),符合設(shè)計(jì)的可靠性和經(jīng)濟(jì)性等要求。 圖3.6 南北方向程序梯形圖(a) 續(xù)圖(b) (b) 續(xù)圖(c)(c)

37、2.東西方向東西方向的梯形圖構(gòu)成與南北方向相同,但啟動(dòng)次序不同,本文不加以詳細(xì)論述。具體梯形圖程序如圖3.7所示: 圖3.7 南北方向程序梯形圖(a) 續(xù)圖(b) (b) 3.1.4 信號(hào)燈的plc外部連線圖信號(hào)燈的plc外部連線較為簡(jiǎn)便,信號(hào)燈輸出一端直接接plc的輸出端,另一端在并上一個(gè)24v的直流電源后接入plc的接地端com1。連線圖如圖3.8所示:圖3.8 信號(hào)燈的plc外部連線圖3.2 倒計(jì)時(shí)數(shù)碼管的設(shè)計(jì)在實(shí)際的交通控制中,僅有信號(hào)燈是遠(yuǎn)遠(yuǎn)不夠的,還需要系統(tǒng)將各個(gè)時(shí)序階段的具體運(yùn)行時(shí)間顯示出來(lái)。本節(jié)將就如何實(shí)現(xiàn)數(shù)碼顯示及數(shù)碼管的外部接線作詳細(xì)介紹。3.2.1程序梯形圖本設(shè)計(jì)中四個(gè)方

38、向數(shù)碼管共設(shè)置了四組,南北方向和東西方向各兩組,每一方向的兩組數(shù)碼管顯示均相同。對(duì)于某一組數(shù)碼管,又分為了個(gè)位數(shù)字顯示和十位顯示,對(duì)于該組數(shù)碼管,又分別顯示直行、左轉(zhuǎn)時(shí)兩個(gè)燈切換之間的時(shí)間。該段程序的設(shè)計(jì),主要是通過(guò)d0-d4數(shù)據(jù)寄存器來(lái)實(shí)現(xiàn)。開(kāi)始時(shí),南北直行綠、南北直行黃、南北直行紅、東西直行綠、東西直行黃、東西直行紅分別在其電路接通時(shí)發(fā)送給顯示電路一個(gè)脈沖信號(hào),同時(shí)中間繼電器m8013每隔1s發(fā)送一個(gè)脈沖信號(hào)。當(dāng)顯示電路收到信號(hào)后首先將d0清零, 并且每秒加1,然后用要顯示的數(shù)依次減去d0中的數(shù)字并發(fā)送到寄存器d1;將d1中的數(shù)字分別取個(gè)位和十位發(fā)送到寄存器d2和d4,最后用segd命令將

39、d2和d4中的數(shù)字顯示到數(shù)碼管上。1.南北方向該方向程序的梯形圖設(shè)計(jì)如圖3.9所示: 圖3.9 南北方向程序梯形圖(a) 續(xù)圖(b) (b) 2.東西方向該方向程序的梯形圖設(shè)計(jì)如圖3.10所示: 圖3.10 東西方向程序梯形圖(a) 續(xù)圖(b) (b) 3.2.2 數(shù)碼管的plc外部連線圖對(duì)于數(shù)碼管的連線,南北向、東西向的兩組數(shù)碼管的各接頭依次接在plc輸出端的y020-y056口上,另一端再并上一個(gè)24v的直流電源后接入plc的接地端com1,連線圖如圖3.11所示:圖3.11 數(shù)碼管的plc外部連線3.3 本章小結(jié)本章研究了信號(hào)燈的具體配置、時(shí)序要求、端口分配、梯形圖程序的設(shè)計(jì)以及plc外

40、部連線;對(duì)于數(shù)碼管顯示,主要研究了數(shù)碼管的顯示原理、控制程序和外部連線等問(wèn)題,己完成了交通信號(hào)燈控制系統(tǒng)設(shè)計(jì)的大部分。對(duì)于系統(tǒng)的仿真,本設(shè)計(jì)選用三菱公司的gx.developer程序開(kāi)發(fā)工具進(jìn)行仿真模擬。第四章 交通燈系統(tǒng)的設(shè)計(jì)4.1 交通系統(tǒng)的發(fā)展趨勢(shì)交通系統(tǒng)未來(lái)的發(fā)展趨勢(shì)就是要提高通行能力,加強(qiáng)環(huán)境保護(hù),開(kāi)展智能化運(yùn)輸和環(huán)保專項(xiàng)技術(shù)的研究,并且要做到以人為本,重點(diǎn)開(kāi)展交通安全技術(shù)的研究,在這個(gè)過(guò)程中要確定經(jīng)濟(jì)合理的目標(biāo),促進(jìn)新材料的廣泛應(yīng)用和開(kāi)發(fā)。4.2 選擇vhdl硬件描述語(yǔ)言設(shè)計(jì)的優(yōu)勢(shì)首先,簡(jiǎn)單地介紹一下什么是vhdl硬件描述語(yǔ)言。vhdl的英文全稱是vhsic(very high s

41、peed integrated circuit)hardware description language。是eda設(shè)計(jì)中使用最多的語(yǔ)言之一,它具有很強(qiáng)的電路描述和建模能力,能從多個(gè)層次對(duì)數(shù)字系統(tǒng)進(jìn)行建模和描述,從而大大地簡(jiǎn)化了硬件設(shè)計(jì)任務(wù),提高了設(shè)計(jì)效率和可靠性。其次,這次設(shè)計(jì)選用vhdl硬件描述語(yǔ)言的優(yōu)勢(shì)就在于傳統(tǒng)的用原理圖設(shè)計(jì)電路的方法具有直觀形象的優(yōu)點(diǎn),但如果所設(shè)計(jì)系統(tǒng)的規(guī)模比較大,或者設(shè)計(jì)軟件不能提供設(shè)計(jì)者所需的庫(kù)單元時(shí),這種方法就顯得很受限制了。而且用原理圖表示的設(shè)計(jì),通用性、可移植性也比較弱,所以在現(xiàn)代的設(shè)計(jì)中,越來(lái)越多地采用了基于硬件描述語(yǔ)言的設(shè)計(jì)方式。利用硬件描述語(yǔ)言來(lái)設(shè)計(jì)電

42、路,使探測(cè)各種設(shè)計(jì)方案變成一件很容易的事,因?yàn)橹恍枰獙?duì)描述語(yǔ)言進(jìn)行修改,這比更改電路原理圖要容易實(shí)現(xiàn)得多。4.3 紅綠燈交通信號(hào)系統(tǒng)功能描述在交通信號(hào)燈的設(shè)計(jì)中,外部硬件電路方面主要包括:兩組紅綠燈、兩組led顯示器(見(jiàn)圖1)。軟件方面包括:(1)電路合成模塊的概念:將交通燈信號(hào)系統(tǒng)劃分成若干個(gè)小電路,編寫(xiě)每一個(gè)模塊的vhdl程序代碼,并將各個(gè)小電路相連接。這樣可以增加程序的調(diào)試速度,同時(shí)也能夠?qū)⒐ぷ骷?xì)分,以提高編程速度(見(jiàn)圖2、圖3)。(2)參數(shù)化的概念:針對(duì)不同時(shí)段的交通流量,可以調(diào)整紅綠燈電路(增加或者減少電路的計(jì)數(shù)時(shí)間),以增加程序的靈活性。 圖1 十字路口示意圖時(shí)鐘發(fā)生電路紅綠燈信號(hào)

43、控制電路倒計(jì)時(shí)控制電路計(jì)數(shù)秒數(shù)選擇電路 東西及南北方向信號(hào)燈時(shí)鐘輸入端系統(tǒng)復(fù)位端東西及南北方向倒計(jì)時(shí) 圖2 交通信號(hào)燈系統(tǒng)結(jié)構(gòu)圖由交通信號(hào)燈系統(tǒng)結(jié)構(gòu)圖(見(jiàn)圖2)可知,該系統(tǒng)由4個(gè)子電路組成。其中包括:(1) 時(shí)鐘發(fā)生電路;(2) 計(jì)數(shù)秒數(shù)選擇電路;(3) 倒計(jì)時(shí)控制電路;(4) 紅綠燈信號(hào)控制電路。 圖3 交通信號(hào)燈系統(tǒng)模塊圖由圖3可以看出系統(tǒng)大體的工作程序是:首先由時(shí)鐘發(fā)生電路產(chǎn)生穩(wěn)定的時(shí)鐘信號(hào),為下面三個(gè)子電路提供同步工作信號(hào)。接收到時(shí)鐘信號(hào)的紅綠燈信號(hào)控制電路開(kāi)始工作,并將產(chǎn)生的重新計(jì)數(shù)的輸出使能控制信號(hào)發(fā)送給計(jì)數(shù)秒數(shù)選擇電路和倒計(jì)時(shí)控制電路,同時(shí)還會(huì)將目前電路產(chǎn)生的狀態(tài)信號(hào)發(fā)送給前者。

44、接收到重新計(jì)數(shù)的信號(hào)后計(jì)數(shù)秒數(shù)選擇電路就會(huì)負(fù)責(zé)產(chǎn)生計(jì)數(shù)器所需要的計(jì)數(shù)值,并將這一數(shù)值發(fā)送給倒計(jì)時(shí)控制電路,由它利用發(fā)光二極管顯示倒計(jì)時(shí)的狀態(tài)。當(dāng)計(jì)數(shù)器計(jì)時(shí)完畢,倒計(jì)時(shí)控制器就會(huì)負(fù)責(zé)產(chǎn)生一個(gè)脈沖信號(hào)發(fā)送給紅綠燈信號(hào)控制電路進(jìn)入下一個(gè)狀態(tài),之后循環(huán)這一過(guò)程。4.4 紅綠燈交通信號(hào)系統(tǒng)的vhdl模塊4.4.1 時(shí)鐘脈沖發(fā)生電路在紅綠燈交通信號(hào)系統(tǒng)中,大多數(shù)的情況是通過(guò)自動(dòng)控制的方式指揮交通的。因此,為了避免意外事件的發(fā)生,電路必須給出一個(gè)穩(wěn)定的時(shí)鐘(clock)才能讓系統(tǒng)正常的工作。因此,hld1時(shí)鐘發(fā)生電路(見(jiàn)圖4)最主要的功能就是產(chǎn)生一些穩(wěn)定的輸出信號(hào),并將其用做后面幾個(gè)電路的使能控制與同步信號(hào)

45、。 圖4 時(shí)鐘發(fā)生電路模塊圖系統(tǒng)輸入信號(hào):clk:由外部信號(hào)發(fā)生器提供1khz的時(shí)鐘信號(hào);reset:系統(tǒng)內(nèi)部自復(fù)位信號(hào)。系統(tǒng)輸出信號(hào):ena_scan:將外部的時(shí)鐘信號(hào)進(jìn)行分頻處理;ena_1hz:產(chǎn)生每秒一個(gè)的脈沖信號(hào);flash_1hz:產(chǎn)生每秒一個(gè)脈沖的時(shí)鐘信號(hào)。經(jīng)仿真后得到的時(shí)序圖(見(jiàn)圖5、圖6):圖5 將clk經(jīng)分頻處理后得到的ena_scan信號(hào) 圖6 時(shí)鐘發(fā)生電路時(shí)序圖從圖5中可以看出,當(dāng)外部信號(hào)發(fā)生器提供了1khz的時(shí)鐘信號(hào)后,系統(tǒng)輸出信號(hào)ena_scan就將時(shí)鐘信號(hào)進(jìn)行了4分頻。從圖6又可以看出,當(dāng)加入1khz的時(shí)鐘信號(hào)后,ena_1hz產(chǎn)生了周期為一秒的脈沖信號(hào),flas

46、h_1hz產(chǎn)生了周期為一秒的脈沖時(shí)鐘信號(hào)。在這段程序的設(shè)計(jì)過(guò)程中最大的特點(diǎn)就是引用了參數(shù)化的概念,即使用了常數(shù)(constant)。常數(shù)的定義和設(shè)置主要是為了使程序更容易閱讀和修改,只要改變了常量的數(shù)值,使用到該常數(shù)的地方都會(huì)隨著更新而使用新的常數(shù)值。這就使設(shè)計(jì)的靈活性增強(qiáng)了。例如程序中用到的:constant scan_bit:positive:=2;signal clk_scan_ff:std_logic_vector(scan_bit-1 downto 0);第一句就是將scan_bit設(shè)為常數(shù)2,這個(gè)數(shù)值是可以根據(jù)設(shè)計(jì)的需要任意設(shè)定的。第二句是定義一個(gè)信號(hào),它的位數(shù)就是(scan_bi

47、t-1),因?yàn)橹皊can_bit設(shè)定的值為2,所以信號(hào)的位數(shù)就是2位。如果想增減信號(hào)的位數(shù),只需要改動(dòng)常數(shù)的賦值就可以了。4.4.2 計(jì)數(shù)秒數(shù)選擇電路當(dāng)通過(guò)交通路口時(shí),如果能在一個(gè)方向增添一個(gè)倒計(jì)時(shí)顯示器對(duì)車輛、行人加以提示,可能會(huì)有更好的效果。因此,hld2計(jì)數(shù)秒數(shù)選擇電路(見(jiàn)圖7)最主要的功能就是負(fù)責(zé)輸出顯示器需要的數(shù)值(即倒數(shù)的秒數(shù)值),作為倒計(jì)時(shí)顯示器電路的計(jì)數(shù)秒數(shù)。 圖7 計(jì)數(shù)秒數(shù)選擇電路模塊圖系統(tǒng)輸入信號(hào):clk:由外部信號(hào)發(fā)生器提供1khz的時(shí)鐘信號(hào);reset:系統(tǒng)內(nèi)部自復(fù)位信號(hào);ena_scan:接收由時(shí)鐘發(fā)生電路提供的250hz的時(shí)鐘脈沖信號(hào);recount:接收由交通燈

48、信號(hào)控制電路產(chǎn)生的重新計(jì)數(shù)的使能控制信號(hào);sign_state:接收由交通燈信號(hào)控制電路產(chǎn)生的狀態(tài)信號(hào)。系統(tǒng)輸出信號(hào): load:負(fù)責(zé)產(chǎn)生計(jì)數(shù)器所需要的計(jì)數(shù)數(shù)值。經(jīng)仿真后得到的時(shí)序圖(見(jiàn)圖8): 圖8 計(jì)數(shù)秒數(shù)選擇電路時(shí)序圖由計(jì)數(shù)描述選擇電路的時(shí)序圖(見(jiàn)圖8)可以看出這段程序中定義了在正常車流量情況下,東西及南北方向紅燈、黃燈和綠燈需要維持的秒數(shù)分別是15s、5s和25s。architecture bhv of hld2 isconstant redew_time:integer:=15;-東西方向紅燈設(shè)定為15s。constant yellowew_time:integer:=5;-東西方向

49、黃燈設(shè)定為5s。constant greenew_time:integer:=25;-東西方向綠燈設(shè)定為25s。constant redsn_time:integer:=15;-南北方向紅燈設(shè)定為15s。constant yellowsn_time:integer:=5;-南北方向黃燈設(shè)定為5s。constant greensn_time:integer:=25;-南北方向綠燈設(shè)定為25s。beginprocess(reset,clk)begin if reset='1' then load<="00000000" elsif (clk'eve

50、nt and clk='1') then if (ena_scan='1' and recount='1') then case sign_state is when "000"=>load<=conv_std_logic_vector(greensn_time,8); -sign_state=“000”時(shí),南北方向綠燈亮25s。 when "001"=>load<=conv_std_logic_vector(yellowsn_time,8); -sign_state=“001”時(shí),

51、南北方向黃燈亮5s。 when "010"=>load<=conv_std_logic_vector(redsn_time,8); -sign_state=“010”時(shí),南北方向紅燈亮15s。 when "011"=>load<=conv_std_logic_vector(redew_time,8); -sign_state=“011”時(shí),東西方向紅燈亮15s。 when "100"=>load<=conv_std_logic_vector(yellowew_time,8); -sign_state

52、=“100”時(shí),東西方向黃燈亮5s。 when "101"=>load<=conv_std_logic_vector(greenew_time,8); -sign_state=“101”時(shí),東西方向綠燈亮25s。 when others=>load<=conv_std_logic_vector(yellowsn_time,8); end case;當(dāng)外部信號(hào)發(fā)生器提供了1khz的時(shí)鐘信號(hào),并且重新計(jì)數(shù)信號(hào)(recount)為“1”時(shí),load信號(hào)就會(huì)按照預(yù)先設(shè)置的數(shù)值逐1遞減,直至減到零為止,當(dāng)下一個(gè)重新計(jì)數(shù)信號(hào)(recount)再次為“1”時(shí),會(huì)重復(fù)此過(guò)程。在這段程序的設(shè)計(jì)中用到了conv_std_logic_vector(value,n)語(yǔ)句,它的用法就是將已經(jīng)定義的數(shù)值 (value)轉(zhuǎn)換成n位(

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論