EDA交通燈設(shè)計(jì)論文_第1頁
EDA交通燈設(shè)計(jì)論文_第2頁
EDA交通燈設(shè)計(jì)論文_第3頁
EDA交通燈設(shè)計(jì)論文_第4頁
EDA交通燈設(shè)計(jì)論文_第5頁
已閱讀5頁,還剩15頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、 目錄1 方案分析與比照································21.1方案分析··············

2、3;·······················21.2方案比照·························&#

3、183;············22 整體設(shè)計(jì)論述··································33 單元模塊設(shè)計(jì)與仿

4、真····························6 3.1時(shí)鐘分頻模塊····················

5、·············6 3.2交通燈控制及計(jì)時(shí)模塊··························7 3.3掃描顯示譯碼模塊······&#

6、183;·······················93.4頂層文件的編寫························

7、83;······104 硬件實(shí)驗(yàn)方案及實(shí)驗(yàn)結(jié)果·······················13 4.1硬件實(shí)驗(yàn)方案················

8、·················13 4.2實(shí)驗(yàn)結(jié)果·······························&#

9、183;·····155 收獲和體會···································156 參考文獻(xiàn)······

10、83;······························13附錄程序代碼··················&

11、#183;···············17論文有刪減,程序在最后,希望給學(xué)習(xí)eda以及做畢業(yè)設(shè)計(jì)的同學(xué)給予幫助!1 方案分析與比照§1.1方案分析 通過分析可以知道,所要設(shè)計(jì)的交通信號燈控制電路要能夠適用于由一條主干道和一條支干道的集合點(diǎn)形成的十字交叉路口。能夠做到主、支干道的紅綠燈閃亮的時(shí)間不完全相同,在綠燈跳變紅燈的過程中能夠用黃燈進(jìn)行過渡,使得行駛過程中的車輛有足夠的時(shí)間停下來。還要求在主、支干道各設(shè)立一組計(jì)時(shí)顯示器,能夠顯示相

12、應(yīng)的紅、黃、綠倒計(jì)時(shí)??梢岳肰HDL語言合理設(shè)計(jì)系統(tǒng)功能,使紅黃綠燈的轉(zhuǎn)換有一個(gè)準(zhǔn)確的時(shí)間間隔和轉(zhuǎn)換順序。§1.2方案比照實(shí)現(xiàn)路口交通燈系統(tǒng)的控制方法很多,可以用標(biāo)準(zhǔn)邏輯器件、可編程序控制器和單片機(jī)等方案來實(shí)現(xiàn)。假設(shè)用單片機(jī)方案來實(shí)現(xiàn)的話,模型可以由電源電路、單片機(jī)主控電路、無線收發(fā)控制電路和顯示電路四局部組成。在電源電路中,需要用到+5V的直流穩(wěn)壓電源,無線收發(fā)控制電路和顯示電路應(yīng)由編碼芯片和數(shù)據(jù)發(fā)射模塊兩局部組成,主控電路的主要元件為AT89C51。硬件設(shè)計(jì)完成后還要利用計(jì)算機(jī)軟件經(jīng)行軟件局部的設(shè)計(jì)才能夠?qū)崿F(xiàn)相應(yīng)的功能。雖然利用單片機(jī)系統(tǒng)設(shè)計(jì)的交通燈控制器相對來說較穩(wěn)定,能夠完

13、成較多功能的實(shí)現(xiàn),但這些控制方法的功能修改及調(diào)試都需要硬件電路的支持,在一定程度上增加了功能修改及系統(tǒng)設(shè)計(jì)與調(diào)試的困難。相反,使用基于FPGA的設(shè)計(jì)方法具有周期短,設(shè)計(jì)靈活,易于修改等明顯的的優(yōu)點(diǎn)。而且,隨著FPGA器件、設(shè)計(jì)語言和電子設(shè)計(jì)自動(dòng)化工具的開展和改良,越來越多的電子系統(tǒng)采用FPGA來設(shè)計(jì)。未來,使用FPGA器件設(shè)計(jì)的產(chǎn)品將出現(xiàn)在各個(gè)領(lǐng)域里。因此,此次的交通信號燈控制器的設(shè)計(jì)將采用基于FPGA的設(shè)計(jì)方案來實(shí)現(xiàn)所要求的功能。2 整體設(shè)計(jì)論述根據(jù)設(shè)計(jì)要求和系統(tǒng)所具有的功能,并參考相關(guān)的文獻(xiàn)資料,經(jīng)行方案設(shè)計(jì),可以畫出如下列圖所示的交通信號燈控制器的系統(tǒng)框圖。 CLK 時(shí)鐘分頻模塊 交通燈

14、控制及計(jì)時(shí)模塊 1kHZ 掃描顯示譯碼模塊 1kHZ 1HZ CAR 支干道車輛檢測 1kHZ 數(shù)碼管及LED信號 數(shù)碼管段碼 數(shù)碼管位碼 LED顯示 系統(tǒng)的狀態(tài)圖如下所示 CAR='0' S0 CAR='1' c="1001111" CAR='0' S4 S1 c="1001111" CAR='1' c="0101100" c="1001010" S2 S3 c="0110001"S0:支干道沒有車輛行駛,支干道綠燈,支干道紅燈S

15、1:支干道有車輛行駛,支干道綠燈,支干道紅燈S2:主干道黃燈,支干道綠燈S3:主干道紅燈,支干道綠燈S4:主干道紅燈,支干道黃燈根據(jù)以上設(shè)計(jì)思路,可以得到如下的頂層文件原理圖頂層文件的實(shí)體圖: 3 單元模塊設(shè)計(jì)與仿真§3.1時(shí)鐘分頻模塊 系統(tǒng)的動(dòng)態(tài)掃描需要1HZ的脈沖,而系統(tǒng)時(shí)鐘計(jì)時(shí)模塊需要1HZ的脈沖。分頻模塊主要為系統(tǒng)提供所需的時(shí)鐘計(jì)時(shí)脈沖。該模塊將1kHZ的脈沖信號進(jìn)行分頻,產(chǎn)生1S的方波,作為系統(tǒng)時(shí)鐘計(jì)時(shí)信號。其實(shí)體模塊如下: 將END TIME改為5S CLK采用系統(tǒng)的1KHZ的時(shí)鐘脈沖 仿真波形如下: 可以看到能夠得到1s的時(shí)鐘脈沖§3.2交通燈控制及計(jì)時(shí)模塊

16、控制模塊根據(jù)外部輸入信號和計(jì)時(shí)模塊產(chǎn)生的輸出信號,產(chǎn)生系統(tǒng)的狀態(tài)機(jī),控制其他局部協(xié)調(diào)工作。計(jì)時(shí)模塊用來設(shè)定主干道和支干道計(jì)時(shí)器的初值,并為掃描顯示譯碼模塊提供倒計(jì)時(shí)時(shí)間。控制及計(jì)時(shí)模塊采用狀態(tài)機(jī)進(jìn)行設(shè)計(jì),可以定義出5種狀態(tài),分別為S0:主干道綠燈,支干道紅燈且沒有車輛行駛;S1:主干道綠燈,支干道紅燈或支干道有車輛駛?cè)耄籗2:主干道黃燈,支干道紅燈;S3:主干道紅燈,支干道綠燈;S4:主干道紅燈,支干道黃燈。利用CASE語句定義狀態(tài)的轉(zhuǎn)換方式及時(shí)間的變換方式,到達(dá)主干道綠燈亮45秒,支干道綠燈亮25秒,黃燈亮5秒的設(shè)計(jì)要求。其實(shí)體模塊如下: CAR為支干道車輛檢測開關(guān)在支干道有車的情況下,模塊

17、可以進(jìn)行減計(jì)時(shí)CLK1S為1S的時(shí)鐘脈沖TIME1H、TIME1L、TIME2H、TIME2L分別為主干道時(shí)鐘高位、主干道時(shí)鐘低位、支干道時(shí)鐘高位、支干道時(shí)鐘低位LED為LED燈發(fā)光情況,分別為主干道綠燈、主干道黃燈、主干道紅燈、支干道綠燈、主干道黃燈、主干道紅燈Count的總的系統(tǒng)時(shí)間,用來改變系統(tǒng)的狀態(tài)仿真波形如下:通過仿真可以看到:當(dāng)主干道綠燈,支干道紅燈時(shí),主干道倒計(jì)時(shí)高位置數(shù)0100,低位置數(shù)0101;支干道高位置數(shù)0101,低位置數(shù)0000;當(dāng)主干道黃燈,支干道紅燈時(shí),主干道黃燈倒計(jì)時(shí)置數(shù)0101;支干道繼續(xù)剛剛的減計(jì)數(shù);當(dāng)主干道紅燈,支干道綠燈時(shí),主干道倒計(jì)時(shí)高位置數(shù)0011,低

18、位置數(shù)0000;支干道高位置數(shù)0010,低位置數(shù)0101;當(dāng)主干道紅燈,支干道黃燈時(shí),支干道黃燈倒計(jì)時(shí)置數(shù)0101;主干道繼續(xù)剛剛的減計(jì)數(shù)。在S4狀態(tài)結(jié)束后,自動(dòng)跳回到S0狀態(tài),繼續(xù)判斷支干道是否有車行駛,假設(shè)有車行駛,那么跳轉(zhuǎn)到S1狀態(tài),給高、低位置數(shù),繼續(xù)進(jìn)行減計(jì)時(shí)。系統(tǒng)根據(jù)COUNT的變化自動(dòng)在各狀態(tài)下跳變,當(dāng)count為45時(shí),跳變到S2狀態(tài);當(dāng)count為50時(shí),跳變到S3狀態(tài);當(dāng)count為75時(shí),跳變到S4狀態(tài);當(dāng)count為80時(shí),假設(shè)支干道沒有車跳變到S0狀態(tài),有車那么跳變到S1狀態(tài)。§3.3掃描顯示譯碼模塊掃描顯示譯碼模塊可以根據(jù)控制信號,驅(qū)動(dòng)交通信號燈以及倒計(jì)時(shí)

19、數(shù)碼管的顯示,其中數(shù)碼管的顯示采用動(dòng)態(tài)掃描顯示。其實(shí)體模塊如下: CLK為1KHZ的系統(tǒng)時(shí)鐘脈沖CLK1S為1S時(shí)鐘脈沖CAR為支干道車輛檢測開關(guān)SEL為數(shù)碼管位碼掃描SEG為數(shù)碼管段碼TIME1H、TIME1L、TIME2H、TIME2L為數(shù)碼管計(jì)時(shí)的時(shí)間,由控制及計(jì)時(shí)模塊為其賦值仿真波形如下:通過仿真可以看到:給CLK一個(gè)時(shí)鐘脈沖,數(shù)碼管的位碼隨CLK時(shí)鐘的變化而進(jìn)行掃描,由于CLK的頻率較高,人的眼睛會有短暫的視覺停留,所以會看到4個(gè)數(shù)碼管都在顯示時(shí)間。§3.4頂層文件的編寫將以上各個(gè)單元模塊仿真成功后,再進(jìn)行頂層文件的編寫。將各個(gè)單元模塊的變量賦值給頂層文件,從而將各個(gè)單元模

20、塊連接起來,統(tǒng)一調(diào)配。得到頂層文件的實(shí)體模塊: CLK為1KHZ系統(tǒng)時(shí)鐘脈沖CAR為支干道車輛行駛情況,高電平為有車行駛,低電平為無車行駛LED為交通燈發(fā)光情況SEL為數(shù)碼管位碼掃描SEG為數(shù)碼管段碼仿真波形如下:仿真后可以得到最終的結(jié)果:開始時(shí),支干道沒有車輛行駛。主干道處于常通行狀態(tài),支干道處于禁止?fàn)顟B(tài);當(dāng)支干道有車來時(shí),主干道亮綠燈,經(jīng)行45秒倒計(jì)時(shí),支干道亮紅燈,經(jīng)行50秒倒計(jì)時(shí);主干道45秒倒計(jì)時(shí)結(jié)束后跳變到黃燈,進(jìn)行5秒倒計(jì)時(shí),支干道繼續(xù)亮紅燈,進(jìn)行倒計(jì)時(shí);主干道5秒倒計(jì)時(shí)結(jié)束后跳變到紅燈,經(jīng)行30秒倒計(jì)時(shí),支干道跳變到綠燈,進(jìn)行25秒倒計(jì)時(shí);支干道25秒倒計(jì)時(shí)結(jié)束后跳變到黃燈,進(jìn)

21、行5秒倒計(jì)時(shí),主干道繼續(xù)亮紅燈,進(jìn)行倒計(jì)時(shí);支干道5秒倒計(jì)時(shí)結(jié)束后,判斷支干道是否有車,假設(shè)有車跳變到S1狀態(tài),沒有車跳變到S0狀態(tài)4 硬件實(shí)驗(yàn)方案及實(shí)驗(yàn)結(jié)果 將程序進(jìn)行編譯后,就可以把管腳綁定后把程序下載到實(shí)驗(yàn)板上進(jìn)行調(diào)試了。§4.1硬件實(shí)驗(yàn)方案管腳綁定如下所示TOLocationI/O Bank1CLKPIN_15232CARPIN_16033SEG0PIN_17534SEG1PIN_17635SEG2PIN_17736SEG3PIN_17837SEG4PIN_17938SEG5PIN_18039SEG6PIN_1110SEL0PIN_3111SEL1PIN_4112SEL2PI

22、N_5113LED0PIN_170314LED1PIN_173315LED2PIN_174316LED3PIN_165317LED4PIN_166318LED5PIN_1673注:CLK綁定時(shí)鐘1KHZCAR綁定DK4LED0綁定LED6;-支干道紅燈LED1綁定LED7;-支干道黃燈LED2綁定LED8;-支干道綠燈LED3綁定LED1;-主干道紅燈LED4綁定LED2;-主干道黃燈LED5綁定LED3;-主干道綠燈SEL0綁定LI0;SEL1綁定LI1;SEL2綁定LI2。SEG0綁定G8;SEG1綁定F8;SEG2綁定E8;SEG3綁定D8;SEG4綁定C8;SEG5綁定B8;SEG6綁

23、定A8;將管腳按上圖綁定后就可以下載到實(shí)驗(yàn)板上進(jìn)行調(diào)試了§4.2實(shí)驗(yàn)結(jié)果將程序下載到實(shí)驗(yàn)板后,CAR置于低電平后,可以看到LED燈的顯示情況為主干道亮綠燈,支干道亮紅燈,主干道數(shù)碼管顯示45秒,支干道數(shù)碼管顯示50秒。但支干道有車時(shí),把CAR置于高電平,可以看到主、支干道的數(shù)碼管開始倒計(jì)時(shí);主干道倒計(jì)時(shí)結(jié)束后再進(jìn)行5秒倒計(jì)時(shí),并且交通燈變?yōu)辄S燈;當(dāng)主干道倒計(jì)時(shí)結(jié)束后,主干道數(shù)碼管顯示30,支干道數(shù)碼管顯示25,主干道變?yōu)榧t燈,支干道變?yōu)榫G燈,繼續(xù)進(jìn)行倒計(jì)時(shí);支干道倒計(jì)時(shí)結(jié)束后再經(jīng)行5秒倒計(jì)時(shí),交通燈變?yōu)辄S燈;支干道倒計(jì)時(shí)結(jié)束后再回到初始狀態(tài)。從實(shí)驗(yàn)板上可以看出硬件測試下的效果到達(dá)了設(shè)

24、計(jì)的要求,能夠?qū)崿F(xiàn)交通信號燈控制器的根本功能。但是,由于實(shí)驗(yàn)板的系統(tǒng)時(shí)鐘不穩(wěn)定,導(dǎo)致數(shù)碼管的掃描有時(shí)會出現(xiàn)閃動(dòng)的現(xiàn)象,在更換了實(shí)驗(yàn)板后可以看出,數(shù)碼管的掃描根本穩(wěn)定,在視覺上不會出現(xiàn)閃動(dòng)的情況?;蛘呖梢詫⑾到y(tǒng)的時(shí)鐘頻率改為2KHZ,更改分頻模塊后再進(jìn)行編譯下載,這樣可以加快數(shù)碼管掃描的速度,從而到達(dá)消除視覺上閃動(dòng)的現(xiàn)象。5 收獲和體會短短十天是EDA課程設(shè)計(jì)很塊就結(jié)束了,雖然在之前的學(xué)習(xí)過程中還存在著沒有弄懂的問題,但是通過這次設(shè)計(jì),進(jìn)一步加深了對EDA的了解,讓我對它有了更加濃厚的興趣。在拿到題目后,首先進(jìn)行了單元模塊的設(shè)計(jì),將每一個(gè)單元模塊設(shè)計(jì)完成后再經(jīng)行仿真,仿真成功后就可以進(jìn)行頂層文件

25、的編寫了,在頂層文件的編寫過程中遇到了一些問題,特別是各模塊之間的連接,以及信號的定義,總是有錯(cuò)誤。有的時(shí)候信號的定義容易出現(xiàn)混淆,在反復(fù)的修改正后,頂層文件終于能夠編譯成功了。在波形仿真的過程中,同樣遇到了困難,有的時(shí)候,由于END TIME的時(shí)間修改的太大,會出現(xiàn)仿真時(shí)間過長的問題,這個(gè)時(shí)候應(yīng)該要把END TIME的時(shí)間相應(yīng)的改小,或是修改系統(tǒng)時(shí)鐘的頻率。在設(shè)計(jì)的過程中還應(yīng)該多聯(lián)系下實(shí)際情況,要了解實(shí)際情況下交通信號燈的工作情況,才能更好的完成此次的課程設(shè)計(jì)。在今后的工作和學(xué)習(xí)中,我們不能僅僅把目光停留在課本上,要多理論聯(lián)系實(shí)際。有的時(shí)候,理論上是正確的東西放到現(xiàn)實(shí)中去,可能由于種種因素的

26、制約,并不能到達(dá)實(shí)際的效果,還需要我們進(jìn)行相應(yīng)的修改才能完成要求。這次的課程設(shè)計(jì)使我穩(wěn)固了以前學(xué)習(xí)到的知識,還使我掌握了以前沒有掌握的知識,同時(shí)鍛煉了自己的能力。6 參考文獻(xiàn)【1】EDA技術(shù)與VHDL 清華大學(xué)出版社 潘松 黃繼業(yè)【2】數(shù)字電路EDA入門-VHDL程序?qū)嵗?北京郵電大學(xué)出版社 張亦華【3】VHDL應(yīng)用與開發(fā)實(shí)踐 科學(xué)出版社 甘歷【4】CPLD/FPGA應(yīng)用開發(fā)技術(shù)與工程實(shí)踐 人民郵電出版社 附錄程序代碼時(shí)鐘分頻模塊:LILIBRARY IEEE;ENTITY fp ISPORT(clk:IN STD_LOGIC; CLK1S:OUT STD_LOGIC);END fp;ARC

27、HITECTURE one OF fp IS SIGNAL N: STD_LOGIC_VECTOR(9 DOWNTO 0); BEGINPROCESS (clk) BEGIN IF clk'EVENT AND clk='1' THEN N<=N+1; END IF;END PROCESS;CLK1S<=N(9);END one;交通燈控制及計(jì)時(shí)模塊:LIBRARY IEEE;ENTITY kz ISPORT (CLK1S,car:IN STD_LOGIC;-1S脈沖,支干道車輛檢測 TIME1H,TIME1L:OUT STD_LOGIC_VECTOR(3 D

28、OWNTO 0);-主干道計(jì)時(shí) TIME2H,TIME2L:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);-支干道計(jì)時(shí) count:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); -系統(tǒng)總計(jì)時(shí) led:OUT STD_LOGIC_VECTOR(5 DOWNTO 0); -交通燈顯示 END kz;ARCHITECTURE one OF kz IS TYPE states IS (s0, s1, s2,s3, s4); -狀態(tài)初始化 SIGNAL current_state,next_state : states; SIGNAL c:STD_LOGIC_V

29、ECTOR(6 DOWNTO 0);BEGINREG:PROCESS(CLK1S,car,current_state,c)BEGINIF car = '0' THEN current_state <= s0 ; c<="0000000" ELSE IF CLK1S'EVENT AND CLK1S = '1' THEN -支干道有車開始計(jì)數(shù) c <= c +1; current_state <= next_state; END IF;END IF;CASE current_state IS-狀態(tài)轉(zhuǎn)換WHEN s0

30、 => LED <= "100001" -支干道無車不減計(jì)時(shí) TIME1H<="0100"TIME1L<="0101" TIME2H<="0101"TIME2L<="0000" IF car = '1' THEN next_state <= s1; ELSE next_state <= s0; END IF; WHEN s1 => LED <= "100001" -主干道綠燈,支干道紅燈 IF c=&

31、quot;0101100" THEN next_state <= s2; ELSE next_state <= s1; END IF;WHEN s2 => LED <= "010001" -主干道黃燈,支干道紅燈 IF c="0110001" THEN next_state <= s3; ELSE next_state <= s2; END IF;WHEN s3 => LED <= "001100" -主干道紅燈,支干道綠燈 IF c="1001010" T

32、HEN next_state <= s4; ELSE next_state <= s3; END IF;WHEN s4 => LED <= "001010" -主干道紅燈,支干道黃燈 IF c="1001111" THEN next_state <= s1; ELSE next_state <= s4; END IF;WHEN OTHERS => LED <= "100001"next_state <= s0;END CASE;IF c="0101101" TH

33、EN TIME1H<="0000"TIME1L<="0101"-系統(tǒng)時(shí)間為45,主干道黃燈計(jì)時(shí)5秒END IF;IF c="0110010" THEN TIME1H<="0011"TIME1L<="0000"TIME2H<="0010"TIME2L<="0101"-系統(tǒng)時(shí)間為50,支干道計(jì)時(shí)30秒。支干道計(jì)時(shí)25秒END IF;IF c="1001011" THEN TIME2H<="

34、0000"TIME2L<="0101"-系統(tǒng)時(shí)間為75,支干道黃燈計(jì)時(shí)5秒END IF;IF c="1010000" THEN TIME1H<="0100"TIME1L<="0101"TIME2H<="0101"TIME2L<="0000"-系統(tǒng)時(shí)間為80,主干道計(jì)時(shí)45,支干道計(jì)時(shí)50END IF;IF c="1010000" THEN c<="0000000"-系統(tǒng)時(shí)間清零END IF;

35、END PROCESS REG;count <= c;END one;掃描顯示譯碼模塊:LIBRARY IEEE;ENTITY xs IS PORT(clk,CLK1S,car:IN STD_LOGIC; TIME1H,TIME1L:IN STD_LOGIC_VECTOR(3 DOWNTO 0); -主干道置數(shù) TIME2H,TIME2L:IN STD_LOGIC_VECTOR(3 DOWNTO 0); -支干道置數(shù) count:IN STD_LOGIC_VECTOR(6 DOWNTO 0); -計(jì)數(shù)信號 sel:OUT STD_LOGIC_VECTOR(2 DOWNTO 0);-數(shù)碼管

36、位碼 seg:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);-數(shù)碼管段碼END xs;ARCHITECTURE one OF xs IS SIGNAL num:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL numsel:STD_LOGIC_VECTOR(2 DOWNTO 0);SIGNAL numseg:STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNAL Q1,Q2,Q3,Q4:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINSM:PROCESS (clk,num,numsel) -掃描 BEGIN IF c

37、lk'EVENT AND clk='1' THEN numsel<=numsel+1; IF numsel="011" THEN numsel<="000" END IF;END IF; END PROCESS SM; WX:PROCESS (numsel,Q1,Q2,Q3,Q4) -位選BEGIN CASE numsel IS WHEN "000" =>num<=Q4; WHEN "001" =>num<=Q3; WHEN "010"

38、 =>num<=Q2; WHEN "011" =>num<=Q1; WHEN OTHERS =>NULL; END CASE;END PROCESS WX;ZS:PROCESS(CLK1S,car,Q1,Q2,Q3,Q4,num,TIME1H,TIME1L,TIME2H,TIME2L)-數(shù)碼管置數(shù)BEGINIF car ='1' THEN IF CLK1S'EVENT AND CLK1S = '1' THEN IF Q2>"0000" THEN Q2<=Q2-1; ELSE

39、 IF Q1>"0000" THEN Q1<=Q1-1;Q2<="1001" -減計(jì)時(shí) END IF; END IF; IF Q4>"0000" THEN Q4<=Q4-1; ELSE IF Q3>"0000" THEN Q3<=Q3-1;Q4<="1001" END IF; END IF; END IF; IF Q1="0000" AND Q2="0000" THEN Q1<=TIME1H;Q2<

40、;=TIME1L; END IF; IF Q3="0000" AND Q4="0000" THEN Q3<=TIME2H;Q4<=TIME2L; END IF;ELSE Q1<=TIME1H;Q2<=TIME1L; -支路無車輛不減計(jì)時(shí) Q3<=TIME2H;Q4<=TIME2L;END IF;END PROCESS ZS;YM:PROCESS (num,numseg)BEGIN CASE num IS WHEN "0000"=>numseg<="1111110" W

41、HEN "0001"=>numseg<="0110000" WHEN "0010"=>numseg<="1101101" WHEN "0011"=>numseg<="1111001" WHEN "0100"=>numseg<="0110011" WHEN "0101"=>numseg<="1011011" WHEN "0110"=>numseg<="1011111" WHEN "0111"=>numseg<="1110000" WHEN "1000"=>numseg<="1111111" WHEN "1001"=>numseg<="1111011" WHEN OTHERS=>NULL; END CASE;END PROCESS YM;sel<=

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論