微機(jī)原理習(xí)題集_第1頁(yè)
微機(jī)原理習(xí)題集_第2頁(yè)
微機(jī)原理習(xí)題集_第3頁(yè)
微機(jī)原理習(xí)題集_第4頁(yè)
微機(jī)原理習(xí)題集_第5頁(yè)
已閱讀5頁(yè),還剩8頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、微機(jī)原理與接口技術(shù)習(xí)題一、 選擇題 1 計(jì)算機(jī)系統(tǒng)中的存貯器系統(tǒng)是指_。A RAM存貯器B ROM存貯器C 主存貯器D 主存貯器和外存貯器2 8086/8088的算術(shù)邏輯運(yùn)算單元可完成_。A 16種算術(shù)運(yùn)算功能B 16種邏輯運(yùn)算功能C 16種算術(shù)運(yùn)算功能和16種邏輯運(yùn)算功能D 4位乘法運(yùn)算和除法運(yùn)算功能3 存儲(chǔ)單元是指_。A 存放一個(gè)二進(jìn)制信息位的存貯元B 存放一個(gè)機(jī)器字的所有存貯元集合C 存放一個(gè)字節(jié)的所有存貯元集合D 存放兩個(gè)字節(jié)的所有存貯元集合;4 變址尋址方式中,操作數(shù)的有效地址等于_。A 基值寄存器內(nèi)容加上形式地址(位移量)B 堆棧指示器內(nèi)容加上形式地址(位移量)C 變址寄存器內(nèi)容加

2、上形式地址(位移量)D 程序記數(shù)器內(nèi)容加上形式地址(位移量)5 計(jì)算機(jī)使用總線結(jié)構(gòu)的主要優(yōu)點(diǎn)是便于實(shí)現(xiàn)模塊化,同時(shí)_。A 減少了信息傳輸量B 提高了信息傳輸?shù)乃俣菴 減少了信息傳輸線的條數(shù)D 加重了CPU的工作量6. 六七十年代,在美國(guó)的_州,出現(xiàn)了一個(gè)地名叫硅谷。該地主要工業(yè)是_它也是_的發(fā)源地。A 馬薩諸塞 ,硅礦產(chǎn)地,通用計(jì)算機(jī)B 加利福尼亞,微電子工業(yè),通用計(jì)算機(jī)C加利福尼亞,硅生產(chǎn)基地,小型計(jì)算機(jī)和微處理機(jī)D加利福尼亞,微電子工業(yè),微處理機(jī)7.某SRAM芯片,存儲(chǔ)容量為64K×16位,該芯片的地址線和數(shù)據(jù)線數(shù)數(shù)為_(kāi)。A 64,16 B 16,64 C 64,8 D 16,1

3、6 。8.用某個(gè)寄存器中操作數(shù)的尋址方式稱(chēng)為_(kāi)尋址。A 直接 B 間接 C 寄存器直接 D 寄存器間接9.計(jì)算機(jī)的外圍設(shè)備是指_。A 輸入/輸出設(shè)備 B 外存儲(chǔ)器C 遠(yuǎn)程通信設(shè)備 D 除了CPU 和內(nèi)存以外的其它設(shè)備10.中斷向量地址是:_。A 子程序入口地址 B 中斷服務(wù)例行程序入口地址C中斷服務(wù)例行程序入口地址的指示器 D 中斷返回地址11.8086CPU在執(zhí)行MOV AL,BX指令的總線周期內(nèi),若BX存放的內(nèi)容為1011H,則BHE和A0的狀態(tài)是:_A:0,0 B:0,1 C:1,0 D:1,1;12.8086在響應(yīng)外部HOLD請(qǐng)求后,將_A:轉(zhuǎn)入特殊中斷服務(wù)程序, B:進(jìn)入等待周期,C

4、:只接受外部數(shù)據(jù),D:所有三態(tài)引腳處于高阻狀態(tài),CPU放棄對(duì)總線的控制權(quán)13.以下使寄存器AX和CF同時(shí)清零的指令為_(kāi)A:ADD AX,AX; B:XCHG AX,BX;C:XOR AX,AX ; D:PUSH AX14.下列指令有語(yǔ)法錯(cuò)誤的是_A:IN AX,20H; B:LEA SI,2000H;C:OUT DX,AL; D:SHL AX,215.可編程計(jì)數(shù)/定時(shí)器電路8254的工作方式共有_A:3種, B:4種, C:5種, D:6種;16.在PC/XT中,若AX=9305H,BX=6279H,執(zhí)行ADD BX,AX指令后接著執(zhí)行INT0指令,則會(huì)_A:進(jìn)入INT0中斷服務(wù)程序, B:執(zhí)

5、行INT0后面的指令,C:死機(jī), D:顯示器顯示OVERFLOW。17.在PC/XT中,NMI中斷的中斷向量在中斷向量表中的位置_A:是由程序指定的, B:是由DOS自動(dòng)分配的,C:固定在0008H開(kāi)始的四個(gè)字節(jié)中,D:固定在中斷向量表首。18.在匯編過(guò)程中不產(chǎn)生指令碼,只用來(lái)指示匯編程序如何匯編的指令是_A:匯編指令, B:偽指令, C:機(jī)器指令, D:宏指令。19.將DX:AX構(gòu)成的雙字(有符號(hào)數(shù))除以2的指令為_(kāi)A:SAR AX,1 B:SHR AX,1 RCR DX,1; RCR DX,1;C:SAR DX,1 D:SAR DX,1 ROR AX,1; RCR AX,1。20.微處理器

6、中的控制總線提供_A:決定數(shù)據(jù)總線上數(shù)據(jù)流的方向,B:控制寄存器進(jìn)行讀/寫(xiě)操作類(lèi)型,C:控制信息流入、流出存儲(chǔ)器的方向,D:以上都是。21.微機(jī)在執(zhí)行指令MOV AL,DI時(shí),將送出的有效信號(hào)有_。 A、RESET, B、低電平的, C、, D、228086CPU可以訪問(wèn)的I/O空間有_。 A、4GB, B、1MB, C、64KB, D、1KB23.在下列指令中能使8086CPU對(duì)I/O端口進(jìn)行讀/寫(xiě)訪問(wèn)的是_。A、中斷指令, B、串操作指令, C、輸入/輸出指令, D、MOV指令24.ADD AX, 12BP指令中,求源操作數(shù)的物理地址時(shí),要使用段寄存器_。A、CS, B、DS, C、SS,

7、 D、ES25. 在機(jī)器數(shù)_中,零的表示形式是唯一的。A 原碼 B 補(bǔ)碼 C 移碼 D 反碼26.主存貯器和CPU之間增加cache的目的是_。A 解決CPU和主存之間的速度匹配問(wèn)題B 擴(kuò)大主存貯器容量C 擴(kuò)大CPU中通用寄存器的數(shù)量D 既擴(kuò)大主存貯器容量,又?jǐn)U大CPU中通用寄存器的數(shù)量27為了便于實(shí)現(xiàn)多級(jí)中斷,保存現(xiàn)場(chǎng)信息最有效的辦法是采用_。 A 通用寄存器 B 堆棧 C 存儲(chǔ)器 D 外存28. 寄存器間接尋址方式中,操作數(shù)處在_。 A. 通用寄存器 B. 主存單元 C. 程序計(jì)數(shù)器 D. 堆棧29程序控制類(lèi)指令的功能是_。 A 進(jìn)行算術(shù)運(yùn)算和邏輯運(yùn)算 B 進(jìn)行主存與CPU之間的數(shù)據(jù)傳送

8、C 進(jìn)行CPU和I / O設(shè)備之間的數(shù)據(jù)傳送 D 改變程序執(zhí)行順序30IEEE1394的高速特性適合于新型高速硬盤(pán)和多媒體數(shù)據(jù)傳送,它的數(shù)據(jù)傳輸率可以是 _。 A 100兆位 / 秒 B 250兆位 / 秒 C 400兆位 / 秒 D 300兆位 / 秒31.完整的計(jì)算機(jī)應(yīng)包括_。A 運(yùn)算器、存儲(chǔ)器、控制器 ;B 外部設(shè)備和主機(jī) ;C 主機(jī)和實(shí)用程序 ;D 配套的硬件設(shè)備和軟件系統(tǒng)32. 某一RAM芯片,其容量為512×8位,除電源和接地端外,該芯片引出線的最小數(shù)目應(yīng)是_。A 23 B 25 C 50 D 1933指令周期是指_。 A CPU從主存取出一條指令的時(shí)間 ; B CPU執(zhí)

9、行一條指令的時(shí)間 ; C CPU從主存取出一條指令加上CPU執(zhí)行這條指令的時(shí)間 ; D 時(shí)鐘周期時(shí)間 ;34.在微型機(jī)系統(tǒng)中,外圍設(shè)備通過(guò)_與主板的系統(tǒng)總線相連接。 A 適配器 B 設(shè)備控制器 C 計(jì)數(shù)器 D 寄存器35.貯存器是計(jì)算機(jī)系統(tǒng)的記憶設(shè)備,它主要用來(lái)_。A 存放數(shù)據(jù) B 存放程序 C 存放數(shù)據(jù)和程序 D 存放微程序36.以下四種類(lèi)型的半導(dǎo)體存儲(chǔ)器中,以傳輸同樣多的字為比較條件,則讀出數(shù)據(jù)傳輸率最 高的是_。 A DRAM B SRAM C 閃速存儲(chǔ)器 D EPROM37. 算術(shù)右移指令執(zhí)行的操作是_。A 符號(hào)位填0,并順次右移1位,最低位移至進(jìn)位標(biāo)志位 ;B 符號(hào)位不變,并順次右移

10、1位,最低位移至進(jìn)位標(biāo)志位 ;C 進(jìn)位標(biāo)志位移至符號(hào)位,順次右移1位,最低位移至進(jìn)位標(biāo)志位 ;D 符號(hào)位填1,并順次右移1位,最低位移至進(jìn)位標(biāo)志位 ;38. 我國(guó)在_年研制成功了第一臺(tái)電子數(shù)字計(jì)算機(jī),第一臺(tái)晶體管數(shù)字計(jì)算機(jī)于_年完成。A 1946, 1958 B 1950, 1968 C 1958,1961 D 1959, 196539. 某DRAM芯片,其存儲(chǔ)容量為512K×8位,該芯片的地址線和數(shù)據(jù)線數(shù)目為_(kāi)。A 8, 512 B 512, 8 C 18, 8 D 19, 840. 在單級(jí)中斷系統(tǒng)中,CPU一旦響應(yīng)中斷,則立即關(guān)閉_標(biāo)志,以防本次中斷服 務(wù)結(jié)束前同級(jí)的其他中斷源產(chǎn)

11、生另一次中斷進(jìn)行干擾。A 中斷允許 B 中斷請(qǐng)求 C 中斷屏蔽 D 中斷保護(hù)41.運(yùn)算器雖有許多部件組成,但核心部件是_。A.數(shù)據(jù)總線 B.算術(shù)邏輯運(yùn)算單元 C.多路開(kāi)關(guān) D.累加寄存器42. 系統(tǒng)總線中地址線的功能是_。A.選擇主存單元地址 B.選擇進(jìn)行信息傳輸?shù)脑O(shè)備C.選擇外存地址 D.指定主存和I/O設(shè)備接口電路的地址43若X補(bǔ)=11010011,則X的十進(jìn)制數(shù)真值是_。A.71 B.48 C.65 D.6344采用串行接口進(jìn)行七位ASC碼傳送,帶有一位奇偶校驗(yàn)位為1位起始位和1位停止位,當(dāng)波特率為9600波特時(shí),字符傳送速率為_(kāi)。A.960 B.873 C.1371 D.480二、填空

12、題1、 若 X=76, X原=_H, X補(bǔ)=_H;若 X=-99, X原=_H, X補(bǔ)=_H;2、 下列指令執(zhí)行后,(AX)=_;MOV AL,07MOV BL,08ADD AL,BLDAA3、 將下列十進(jìn)制數(shù)據(jù)轉(zhuǎn)換為十六進(jìn)制數(shù)299.34375=_H; 54.625=_H;4、 設(shè)(CS)=2000H,(IP)=2100H,位移量=0100H,(BX)=1200H,(DS)=3100H,(32300H)=1800H,(32200H)=1900H,則A 段內(nèi)直接尋址方式的轉(zhuǎn)移地址=_H;B 使用BX的寄存器尋址的段內(nèi)間接尋址方式的轉(zhuǎn)移地址=_H;C 使用BX的寄存器相對(duì)尋址的段內(nèi)間接尋址方式的

13、轉(zhuǎn)移地址=_H;5、 已知VAR為字型變量,MOV AX,BPSI-5源操作數(shù)的尋址方式是_;MOV CX,TYPE VAR源操作數(shù)的尋址方式是_。6、 已知(AX)=45ABH,(BX)=7D19H,則執(zhí)行指令 ADD AX,BX后,(AX)=_AF=_,CF=_,SF=_,ZF=_,PF=_,OF=_。7、 8086系統(tǒng)加電或復(fù)位后,(CS)=_,(IP)=_。8、 中斷類(lèi)型號(hào)為15的中斷程序的入口地址存放在_。9、 8086可訪問(wèn)的存儲(chǔ)空間為_(kāi),可訪問(wèn)的I/O空間為_(kāi),后者由_地址決定,對(duì)I/O操作的有效信號(hào)為_(kāi),而對(duì)存儲(chǔ)器進(jìn)行寫(xiě)操作的有效信號(hào)為_(kāi)。10、有一實(shí)時(shí)數(shù)據(jù)采集系統(tǒng),要求10m

14、s進(jìn)行一次數(shù)據(jù)采集,應(yīng)采用的數(shù)據(jù)傳送方式為_(kāi)。11、 中斷制器8259內(nèi)含有_個(gè)可編程寄存器,共占用_個(gè)端口地址,其中_用于初始化,_為操作命令字。8259內(nèi)還含有中斷請(qǐng)求寄存器IRR用于存放_(tái),中斷服務(wù)寄存器ISR用于存放_(tái)。12、堆棧是內(nèi)存中的一個(gè)專(zhuān)用區(qū)域,其存取規(guī)則是_, 在8086系統(tǒng)中,當(dāng)CPU響應(yīng)外部中斷請(qǐng)求轉(zhuǎn)向中斷處理程序前,應(yīng)將_ 的內(nèi)容依次壓入堆棧。13、設(shè)某微機(jī)系統(tǒng)的存儲(chǔ)器總量為256K若采用單片容量為16K的SRAM芯片,則組成該存儲(chǔ)系統(tǒng)共需此類(lèi)芯片_個(gè),每個(gè)芯片需_根片內(nèi)地址選擇線,整個(gè)系統(tǒng)應(yīng)分為_(kāi)個(gè)芯片組。14、8086向存儲(chǔ)器的奇地址寫(xiě)一個(gè)字節(jié)的數(shù)據(jù)時(shí),需要一個(gè)總線

15、周期,在該總線周期內(nèi)的第一個(gè)時(shí)鐘周期中,/BHE為_(kāi),A0為_(kāi)。15、已知AL的內(nèi)容為01011101B,執(zhí)行指令NEG AL后再執(zhí)行CBW,AX中的內(nèi)容為_(kāi)。16、中斷類(lèi)型號(hào)為252的中斷矢量存儲(chǔ)在_至_處。共_個(gè)單元。17、程序中斷過(guò)程包括_、_、_、_。18、設(shè)有一中斷,它在中斷矢量表中的位置是3ECH-3EFH四個(gè)連續(xù)單元,且有(3ECH)=01H,(3EDH)=10H,(3EEH)=20H,(3EFH)=30H,則該中斷的中斷類(lèi)型碼是_,中斷服務(wù)程序的入口地址是_。19、將一個(gè)字節(jié)從3F8H端口輸入的程序段是:_ _。20、計(jì)算機(jī)系統(tǒng)是由_和_組成;微型計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)多為單總線結(jié)構(gòu),

16、總線按其功能可分為_(kāi)、_、_三種總線。21、有兩臺(tái)PC機(jī):A機(jī)和B機(jī)通過(guò)串口進(jìn)行通信;一分鐘內(nèi)A機(jī)向B機(jī)發(fā)送了17600個(gè)字節(jié)的數(shù)據(jù),B機(jī)向A機(jī)回送了40000個(gè)字節(jié)的數(shù)據(jù),設(shè)通信的數(shù)據(jù)長(zhǎng)度為8位,一位起始位和一位停止位;則該通信的波特率是_bps,現(xiàn)欲傳送19200個(gè)字節(jié)的數(shù)據(jù)則至少需_秒。22、執(zhí)行下列指令后,DX寄存器的內(nèi)容為_(kāi)。 Table DW 25,36,-1,-16,-2,13 PYL DW 3 MOV BX, OFFSET Table ADD BX, PYL MOV DX, BX23、三態(tài)邏輯電路輸出信號(hào)的三個(gè)狀態(tài)為_(kāi)、_、_。24、當(dāng)引腳輸出高電平時(shí),說(shuō)明CPU正在訪問(wèn)_。2

17、5、如果堆棧的起始地址為2300:0000H,棧底為0100H,(SP)=009EH,則棧頂?shù)刂窞開(kāi),現(xiàn)從堆棧中彈出兩個(gè)字節(jié)數(shù)據(jù)后,SP的內(nèi)容為_(kāi)。26、若某處理器有22條地址總線和16條數(shù)據(jù)總線,并采用獨(dú)立編址,則存儲(chǔ)器的地址空間為_(kāi)字節(jié)。27、給定一個(gè)數(shù)據(jù)的偏移地址為2359H,并且(DS)=4050H,則該數(shù)據(jù)的物理地址為_(kāi)。28、設(shè)(BX)=0158H,(SI)=1002H,(SS)=1020H,(DS)=2100H,(BP)=0010H,DAT=01B5H,請(qǐng)寫(xiě)出下列指令源操作數(shù)的尋址方式及有效地址和物理地址:A:MOV AL,BP 尋址方式:_,有效地址:_, 物理地址:_。B:M

18、OV AX,DATBXSI 尋址方式:_,有效地址:_, 物理地址:_。29、將一個(gè)字節(jié)從3F8H端口輸出的程序段是:_ _。三、程序閱讀填空1、已知N(3<N<100)個(gè)8位無(wú)符號(hào)數(shù)已存放在緩沖區(qū)INX中,其中第一個(gè)字節(jié)存放個(gè)數(shù)N,從第二個(gè)字節(jié)開(kāi)始存放數(shù)據(jù),下列的FUNC2子程序完成對(duì)這N個(gè)數(shù)據(jù)按由大到小排序,在劃線處填入必要的指令,使之完整。FUNC2 PROC NEAR LEA SI, INX XOR CX, CX MOV CL, SI DEC CX B10: INC SI MOV DI, SI PUSH SI _ MOV AL, SIB20: INC SI CMP AL,

19、SI _ MOV AL, SI MOV DI, SIB30: LOOP B20 POP CX POP SI MOV AH, SI MOV SI, AL MOV DI, AH LOOP B10 _FUNC2 ENDP2、下列程序段的功能是完成(a*b+c)的運(yùn)算,其中變量a,b ,c,和s均為帶符號(hào)的字?jǐn)?shù)據(jù),結(jié)果存入s,請(qǐng)?jiān)谙铝锌崭裰刑钊牒线m的指令(注:請(qǐng)按注釋填空) MOV AX,a _ ;A*b在CX:BX中_MOV AX,C _ ;C在:中CLCADD AX,BX _ ;a*b+c在:中 _ _ _ ;存入s 3、有程序段: MOV DX, 5678H MOV BX, 1234H PUSH

20、 BX PUSH DX PUSH BP MOV BP,SP MOV AX, BP+4 POP BP POP DX POP BX指出該程序段執(zhí)行后(AX)=_H。4、 執(zhí)行完下列程序后,回答指定的問(wèn)題。MOV AX,0MOV BX,2MOV CX,50LP:ADD AX,BXADD BX,2LOOP LP問(wèn):(1) 該程序的功能是 。(2) 程序執(zhí)行完成后,(AX)= 。5、在8086系統(tǒng)中設(shè)僅有一片8259,用于管理8級(jí)中斷,其端口地址為FE20H,FE40H,中斷請(qǐng)求信號(hào)為高電平有效,IR5中斷的中斷類(lèi)型為53,中斷自動(dòng)結(jié)束,在下列初始化及應(yīng)用程序段的空白處填入相應(yīng)的語(yǔ)句: MOV _, 0

21、FE20H MOV AL, _B OUT _, AL MOV _, 0FE40H MOV AL, _B OUT _, AL MOV AL, 00010011B OUT _, AL MOV AL, 00101000B ;OCW1 OUT _, AL STI ICW1的格式XXX1LTIM0SNGLIC4回答問(wèn)題 1、如果有一中斷的請(qǐng)求信號(hào)接與8259的IR5上,且該中斷的服務(wù)程序入口為INT_SERVERS5,則將該服務(wù)程序的入口地址裝入向量表中的程序段為 _2、該中斷服務(wù)程序的入口地址在向量表中的位置為_(kāi)3、如果8259的IR2上有有效地中斷請(qǐng)求信號(hào),則CPU_(能/否)響應(yīng)該中斷請(qǐng)求。四、存

22、儲(chǔ)器連線1、若地址總線為16位,數(shù)據(jù)位為8位的微機(jī)系統(tǒng)設(shè)計(jì)容量為12K*8的存儲(chǔ)器,要求ROM區(qū)為8KB,地址從0000H開(kāi)始,采用2716(2K*8)芯片;RAM區(qū)為4KB,地址從2000H開(kāi)始,采用6216(2K*8)芯片。試畫(huà)出存儲(chǔ)器系統(tǒng)的連線圖,并寫(xiě)出每個(gè)芯片組的地址范圍。注:CPU的引腳有: 16條地址線,8條數(shù)據(jù)線,控制線:,;2716的引腳有:11條地址線,8條數(shù)據(jù)線,控制線:(讀出允許),(片選);6216的引腳有:11條地址線,8條數(shù)據(jù)線,控制線:(讀信號(hào)),(寫(xiě)允許),(片選信號(hào)),譯碼器用74LS138譯碼器(3-8譯碼器),3-8譯碼器的引腳有三個(gè)輸入端A,B,C,8個(gè)

23、輸出端(),控制端:2、一臺(tái)8位微機(jī)系統(tǒng)需擴(kuò)展內(nèi)存RAM 32KB,其擴(kuò)充存儲(chǔ)器空間為4000H開(kāi)始的連續(xù)存儲(chǔ)區(qū)。設(shè)系統(tǒng)的地址總線為A0A15,數(shù)據(jù)總線為D0D7,控制信號(hào)為/MREQ和/WR、/RD,存儲(chǔ)器芯片用6264。畫(huà)出擴(kuò)充的存儲(chǔ)器模塊的連線圖,并寫(xiě)出每組芯片的地址范圍。地址譯碼器選用74LS138 38譯碼器。 注:6264用到的引腳有8根數(shù)據(jù)線D0D7,13根地址線A0A12,/WR,/RD,/CS 38譯碼器用到的引腳有輸入數(shù)據(jù)線A,B,C,控制線:/G1,/G2,G3,輸出線:/Y0/Y7。.3 已知某微機(jī)系統(tǒng)的RAM容量為4K×8位,首地址為2600H,求其最后一個(gè)

24、單元的地址。4. 若用4K×1位的RAM芯片組成16K×8位的存儲(chǔ)器,需要多少芯片?A19A0地址線中哪些參與片內(nèi)尋址?哪些作為芯片組的片選信號(hào)?5已知一個(gè)具有14位地址和8位數(shù)據(jù)的存儲(chǔ)器,回答下列問(wèn)題:(1)該存儲(chǔ)器能存儲(chǔ)多少字節(jié)的信息?(2)如果存儲(chǔ)器由8K×4位RAM芯片組成,需要多少片?(3)需要多少位地址作芯片選擇?6 用16K×1位的DRAM芯片組成64K×8位的存儲(chǔ)器,要求畫(huà)出該存儲(chǔ)器組成的邏輯框圖。【解答】總?cè)萘繛?4K×8位,由16K×1位的DRAM芯片組成:(64K×8位)/(16K×

25、1位)= 32片既要進(jìn)行位擴(kuò)展又要進(jìn)行字?jǐn)U展:由8片組成一組進(jìn)行位擴(kuò)展,由這樣的4組進(jìn)行字?jǐn)U展。每一組的存儲(chǔ)容量為16K×8位 = 16KB = 214B,需要14位地址做片內(nèi)尋址;4組芯片需要2位地址做片組選擇,即片選信號(hào)。邏輯框圖見(jiàn)下圖。為清楚起見(jiàn),圖中只畫(huà)出了各個(gè)芯片的部分連線。五、簡(jiǎn)答題1. 什么是微型計(jì)算機(jī)的系統(tǒng)總線?說(shuō)明數(shù)據(jù)總線、地址總線、控制總線各自的作用。2. 8086CPU內(nèi)部寄存器有哪幾種?各自的特點(diǎn)和作用是什么?3 I/O端口有哪兩種編址方式,各自的優(yōu)缺點(diǎn)是什么?4分析匯編語(yǔ)言源程序應(yīng)該由哪些邏輯段組成?各段的作用是什么?語(yǔ)句標(biāo)號(hào)和變量應(yīng)具備哪3種屬性?5. 比

26、較宏指令與子程序,它們有何異同?它們的本質(zhì)區(qū)別是什么?6. 半導(dǎo)體存儲(chǔ)器有哪些優(yōu)點(diǎn)?SRAM、DRAM各自有何特點(diǎn)?7. 常用的存儲(chǔ)器地址譯碼方式有哪幾種?各自的特點(diǎn)是什么?8. 什么叫總線?總線如何進(jìn)行分類(lèi)?各類(lèi)總線的特點(diǎn)和應(yīng)用場(chǎng)合是什么?9. CPU與輸入輸出設(shè)備之間傳送的信息由哪幾類(lèi)?相應(yīng)的端口稱(chēng)為什么端口?10. CPU和外設(shè)之間的數(shù)據(jù)傳送方式有哪幾種?無(wú)條件傳送方式通常用在哪些場(chǎng)合?11. 簡(jiǎn)述微機(jī)系統(tǒng)的中斷處理過(guò)程。12. 已知8086系統(tǒng)中采用單片8259A來(lái)控制中斷,中斷類(lèi)型碼為20H,中斷源請(qǐng)求線與8259A的IR4相連,計(jì)算中斷向量表的入口地址。如果中斷服務(wù)程序入口地址為2

27、A310H,則對(duì)應(yīng)該中斷源的中斷向量表的內(nèi)容是什么?13可編程并行接口芯片8255A有哪幾種工作方式?每種工作方式有何特點(diǎn)?14. 已知堆棧段寄存器(SS)=2400H,堆棧指針(SP)=1200H,計(jì)算該堆棧棧頂?shù)膶?shí)際地址,并畫(huà)出堆棧示意圖。六、編程題1、從鍵盤(pán)輸入一系列字符,以回車(chē)符結(jié)束,編程統(tǒng)計(jì)其中非數(shù)字字符的個(gè)數(shù)?!窘獯稹緿ATA SEGMENT BLOCK DB 100 DUP (?) COUNT DB ?DATA ENDSCODE SEGMENT ASSUME DS:DATA,CS:CODESTART:MOV AX,DATA MOV DS,AX MOV DL,0 LEA SI,BL

28、OCKLP: MOV AH,1INT 21HCMP AL,0DHJZ EXIT MOV SI,AL CMP AL,30HJAE NEXTCMP AL,39HJBE NEXT INC DLNEXT: INC SI JMP LPEXIT: MOV COUNT,DLMOV AH,4CH INT 21HCODE ENDS END START2、以子程序的方式實(shí)現(xiàn),將BX寄存器中的內(nèi)容以十六進(jìn)制形式顯示出來(lái)3、 已知在內(nèi)存中從BLOCK單元起存放有20個(gè)帶符號(hào)字節(jié)數(shù)據(jù),統(tǒng)計(jì)其中負(fù)數(shù)的個(gè)數(shù)并放入COUNT單元。1、4試定義將一位十六進(jìn)制數(shù)轉(zhuǎn)換為ASCII碼的宏指令。5已知8086系統(tǒng)采用單片8259A,中

29、斷請(qǐng)求信號(hào)使用電平觸發(fā)方式,完全嵌套中斷優(yōu)先級(jí),數(shù)據(jù)總線無(wú)緩沖,采用自動(dòng)中斷結(jié)束方式,中斷類(lèi)型碼為20H27H,8259A的端口地址為B0H和B1H,試編程對(duì)8259A設(shè)定初始化命令字。【解答】對(duì)8259A的初始化編程如下: MOV AL, 1BH ; 寫(xiě)入ICW1,設(shè)定電平觸發(fā),單片OUT B0H,ALMOV AL, 20H ; 寫(xiě)入ICW2,設(shè)定IRQ0的中斷類(lèi)型碼為20HOUT B1H, ALMOV AL, 07H ;寫(xiě)入ICW4,設(shè)定完全嵌套方式,普通EOI方式OUT B1H, AL七、接口技術(shù)題.1、試按以下要求對(duì)8255A進(jìn)行初始化編程:(1)設(shè)端口A、端口B和端口C均為基本輸入/輸出方式,且不允許中斷。A、B端口為輸入,C端口為輸出。(2)設(shè)端口A為選通輸出方式,端口B為基本輸入方式,端口C

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論