單片機(jī)課件第五章_第1頁
單片機(jī)課件第五章_第2頁
單片機(jī)課件第五章_第3頁
單片機(jī)課件第五章_第4頁
單片機(jī)課件第五章_第5頁
已閱讀5頁,還剩75頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 5.1 單片機(jī)應(yīng)用系統(tǒng)的設(shè)計(jì)方法單片機(jī)應(yīng)用系統(tǒng)的設(shè)計(jì)方法 5.2 SPI總線總線DS1302實(shí)時(shí)時(shí)鐘控制實(shí)時(shí)時(shí)鐘控制 5.3 電動(dòng)機(jī)控制系統(tǒng)設(shè)計(jì)電動(dòng)機(jī)控制系統(tǒng)設(shè)計(jì) 5.4 RS485總線在單片機(jī)多機(jī)通信中的應(yīng)用總線在單片機(jī)多機(jī)通信中的應(yīng)用 5.1.1 單片機(jī)應(yīng)用系統(tǒng)單片機(jī)應(yīng)用系統(tǒng)的結(jié)構(gòu)的結(jié)構(gòu)5.1.2 前向通道的組成及其特點(diǎn)前向通道的組成及其特點(diǎn)5.1.3 后向通道的組成及其特點(diǎn)后向通道的組成及其特點(diǎn)5.1.4 人機(jī)通道的結(jié)構(gòu)及其特點(diǎn)人機(jī)通道的結(jié)構(gòu)及其特點(diǎn)5.1.5 相互通道及其特點(diǎn)相互通道及其特點(diǎn)5.1.6 單片機(jī)應(yīng)用系統(tǒng)單片機(jī)應(yīng)用系統(tǒng)的設(shè)計(jì)內(nèi)容的設(shè)計(jì)內(nèi)容一個(gè)實(shí)際的單片機(jī)應(yīng)用系統(tǒng)除了基本組

2、成結(jié)構(gòu)、功能及其一個(gè)實(shí)際的單片機(jī)應(yīng)用系統(tǒng)除了基本組成結(jié)構(gòu)、功能及其擴(kuò)展基本外圍設(shè)備的接口技術(shù)外,還需要多種配置及其擴(kuò)展基本外圍設(shè)備的接口技術(shù)外,還需要多種配置及其接口連接;單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)涉及到許多復(fù)雜的內(nèi)容接口連接;單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)涉及到許多復(fù)雜的內(nèi)容和問題,如:多種類型的電路結(jié)構(gòu):模擬電路、伺服電和問題,如:多種類型的電路結(jié)構(gòu):模擬電路、伺服電路、抗干擾隔離電路等。路、抗干擾隔離電路等。因此,單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)應(yīng)遵循一些基本原則和方法。因此,單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)應(yīng)遵循一些基本原則和方法。從應(yīng)用角度,了解單片機(jī)應(yīng)用系統(tǒng)的結(jié)構(gòu)、設(shè)計(jì)的內(nèi)容從應(yīng)用角度,了解單片機(jī)應(yīng)用系統(tǒng)的結(jié)構(gòu)、設(shè)計(jì)的內(nèi)容與一般

3、方法,對(duì)于單片機(jī)應(yīng)用系統(tǒng)的工程設(shè)計(jì)與開發(fā)有與一般方法,對(duì)于單片機(jī)應(yīng)用系統(tǒng)的工程設(shè)計(jì)與開發(fā)有十分重要的指導(dǎo)意義。十分重要的指導(dǎo)意義。由于單片機(jī)主要用于工業(yè)由于單片機(jī)主要用于工業(yè)控制,其典型應(yīng)用系統(tǒng)控制,其典型應(yīng)用系統(tǒng)應(yīng)包括單片機(jī)系統(tǒng)、用應(yīng)包括單片機(jī)系統(tǒng)、用于測(cè)控目的的前向傳感于測(cè)控目的的前向傳感器輸入通道、用于伺服器輸入通道、用于伺服的后向控制輸出通道及的后向控制輸出通道及基本的人機(jī)對(duì)話通道?;镜娜藱C(jī)對(duì)話通道。大型復(fù)雜的測(cè)控系統(tǒng)是大型復(fù)雜的測(cè)控系統(tǒng)是一個(gè)多機(jī)系統(tǒng),還包括一個(gè)多機(jī)系統(tǒng),還包括機(jī)與機(jī)之間進(jìn)行通信的機(jī)與機(jī)之間進(jìn)行通信的相互通道。相互通道。前向通道是單片機(jī)與測(cè)控對(duì)象相連的部分,是應(yīng)用系

4、統(tǒng)的數(shù)據(jù)采集的前向通道是單片機(jī)與測(cè)控對(duì)象相連的部分,是應(yīng)用系統(tǒng)的數(shù)據(jù)采集的輸入通道。輸入通道。來自被控對(duì)象的現(xiàn)場(chǎng)信息多種多樣。按物理量的特征可分為模擬量、來自被控對(duì)象的現(xiàn)場(chǎng)信息多種多樣。按物理量的特征可分為模擬量、數(shù)字量和開關(guān)量。數(shù)字量和開關(guān)量。對(duì)于數(shù)字量(頻率、周期、相位、計(jì)數(shù)等)的采集,輸入比較簡(jiǎn)單。對(duì)于數(shù)字量(頻率、周期、相位、計(jì)數(shù)等)的采集,輸入比較簡(jiǎn)單。它們可直接作為計(jì)數(shù)輸入、測(cè)試輸入、它們可直接作為計(jì)數(shù)輸入、測(cè)試輸入、I/O口輸入或中斷輸入進(jìn)行口輸入或中斷輸入進(jìn)行事件計(jì)數(shù)、定時(shí)計(jì)數(shù),實(shí)現(xiàn)脈沖的頻率、周期、相位及計(jì)數(shù)測(cè)量。事件計(jì)數(shù)、定時(shí)計(jì)數(shù),實(shí)現(xiàn)脈沖的頻率、周期、相位及計(jì)數(shù)測(cè)量。對(duì)于

5、開關(guān)量的一般通過對(duì)于開關(guān)量的一般通過I/O口線或擴(kuò)展口線或擴(kuò)展I/O口線直接輸入??诰€直接輸入。對(duì)于模擬量的采集則比較復(fù)雜:一般被控對(duì)象都是交變電流、交變電對(duì)于模擬量的采集則比較復(fù)雜:一般被控對(duì)象都是交變電流、交變電壓、大電流系統(tǒng)等等。壓、大電流系統(tǒng)等等。模擬量輸入通道,一般包括模擬量輸入通道,一般包括變換器、隔離放大器、濾波器、采樣保持器、多路電子轉(zhuǎn)換開關(guān)、變換器、隔離放大器、濾波器、采樣保持器、多路電子轉(zhuǎn)換開關(guān)、A/D轉(zhuǎn)換轉(zhuǎn)換器及其接口電路器及其接口電路。變換器變換器是各種傳感器的總稱,它采集現(xiàn)場(chǎng)的各種信號(hào),并變換成電信號(hào)(電壓信號(hào)或電流信號(hào));是各種傳感器的總稱,它采集現(xiàn)場(chǎng)的各種信號(hào),并

6、變換成電信號(hào)(電壓信號(hào)或電流信號(hào));隔離放大器隔離放大器:?jiǎn)纹瑱C(jī)屬于數(shù)字弱電系統(tǒng),在數(shù)字量和開關(guān)量的采集通道中,要用隔離器件進(jìn)行隔離(如:?jiǎn)纹瑱C(jī)屬于數(shù)字弱電系統(tǒng),在數(shù)字量和開關(guān)量的采集通道中,要用隔離器件進(jìn)行隔離(如光電藕元器件);傳感器輸出的信號(hào)一般較弱,需要放大;光電藕元器件);傳感器輸出的信號(hào)一般較弱,需要放大;濾波器濾波器:現(xiàn)場(chǎng)信息來自各種工業(yè)現(xiàn)場(chǎng),夾帶大量的噪音和干擾信號(hào),為提高系統(tǒng)的可靠性,必須隔離或:現(xiàn)場(chǎng)信息來自各種工業(yè)現(xiàn)場(chǎng),夾帶大量的噪音和干擾信號(hào),為提高系統(tǒng)的可靠性,必須隔離或削減干擾信號(hào);抗干擾設(shè)計(jì)重點(diǎn)部位;削減干擾信號(hào);抗干擾設(shè)計(jì)重點(diǎn)部位;采樣保持器采樣保持器:在前向通道

7、有兩個(gè)作用:在前向通道有兩個(gè)作用(1)實(shí)現(xiàn)多路模擬信號(hào)的同時(shí)采集實(shí)現(xiàn)多路模擬信號(hào)的同時(shí)采集;(2)消除消除A/D轉(zhuǎn)換器的轉(zhuǎn)換器的”孔徑孔徑”誤差(誤差( ”孔徑時(shí)間孔徑時(shí)間” : A/D轉(zhuǎn)換器從開始轉(zhuǎn)換到轉(zhuǎn)換結(jié)束輸出穩(wěn)定信號(hào)這一段時(shí)間)轉(zhuǎn)換器從開始轉(zhuǎn)換到轉(zhuǎn)換結(jié)束輸出穩(wěn)定信號(hào)這一段時(shí)間)多路電子轉(zhuǎn)換開關(guān)多路電子轉(zhuǎn)換開關(guān):實(shí)現(xiàn)一個(gè):實(shí)現(xiàn)一個(gè)A/D轉(zhuǎn)換器分時(shí)對(duì)多路模擬信號(hào)進(jìn)行轉(zhuǎn)換;轉(zhuǎn)換器分時(shí)對(duì)多路模擬信號(hào)進(jìn)行轉(zhuǎn)換;A/D轉(zhuǎn)換器轉(zhuǎn)換器:前向通道中模擬系統(tǒng)與數(shù)字系統(tǒng)連接的核心部件。:前向通道中模擬系統(tǒng)與數(shù)字系統(tǒng)連接的核心部件。前向通道的特點(diǎn):前向通道的特點(diǎn):(1)與現(xiàn)場(chǎng)對(duì)象相連接,是現(xiàn)場(chǎng)干擾進(jìn)入的主要通

8、道,是整)與現(xiàn)場(chǎng)對(duì)象相連接,是現(xiàn)場(chǎng)干擾進(jìn)入的主要通道,是整個(gè)系統(tǒng)抗干擾設(shè)計(jì)的重點(diǎn)部位;個(gè)系統(tǒng)抗干擾設(shè)計(jì)的重點(diǎn)部位;(2)由于所采集的對(duì)象不同,有模擬量、數(shù)字量和開關(guān)量,)由于所采集的對(duì)象不同,有模擬量、數(shù)字量和開關(guān)量,而這些都是由安放在現(xiàn)場(chǎng)的傳感、變換裝置產(chǎn)生的,許多參而這些都是由安放在現(xiàn)場(chǎng)的傳感、變換裝置產(chǎn)生的,許多參量信號(hào)不能滿足單片機(jī)輸入的要求,故有大量的、形式多樣量信號(hào)不能滿足單片機(jī)輸入的要求,故有大量的、形式多樣的信號(hào)變換調(diào)節(jié)電路;的信號(hào)變換調(diào)節(jié)電路;(3)前向通道是一個(gè)模擬、數(shù)字混合電路系統(tǒng),其電路功耗)前向通道是一個(gè)模擬、數(shù)字混合電路系統(tǒng),其電路功耗小,一般沒有功率驅(qū)動(dòng)要求。小,

9、一般沒有功率驅(qū)動(dòng)要求。后向通道是應(yīng)用系統(tǒng)的伺服驅(qū)動(dòng)通道。后向通道是應(yīng)用系統(tǒng)的伺服驅(qū)動(dòng)通道。作用于控制對(duì)象的控制信號(hào)通常有兩種:作用于控制對(duì)象的控制信號(hào)通常有兩種: 一種是一種是開關(guān)量控制信號(hào)開關(guān)量控制信號(hào),另一種是,另一種是模擬量控制信號(hào)模擬量控制信號(hào)。開關(guān)量控制信號(hào)開關(guān)量控制信號(hào)的后向通道比較簡(jiǎn)單,只需要采用隔離器件進(jìn)的后向通道比較簡(jiǎn)單,只需要采用隔離器件進(jìn)行隔離及電平轉(zhuǎn)換。行隔離及電平轉(zhuǎn)換。模擬量控制信號(hào)模擬量控制信號(hào)的后向通道,需要進(jìn)行的后向通道,需要進(jìn)行D/A轉(zhuǎn)換、隔離放大、轉(zhuǎn)換、隔離放大、功率驅(qū)動(dòng)等器件進(jìn)行隔離及電平轉(zhuǎn)換。功率驅(qū)動(dòng)等器件進(jìn)行隔離及電平轉(zhuǎn)換。后向通道的特點(diǎn):后向通道的特

10、點(diǎn):(1)后向通道是應(yīng)用系統(tǒng)的輸出通道,大多數(shù)需要功)后向通道是應(yīng)用系統(tǒng)的輸出通道,大多數(shù)需要功率驅(qū)動(dòng);率驅(qū)動(dòng);(2)靠近伺服驅(qū)動(dòng)現(xiàn)場(chǎng),伺服控制系統(tǒng)的大功率負(fù)荷)靠近伺服驅(qū)動(dòng)現(xiàn)場(chǎng),伺服控制系統(tǒng)的大功率負(fù)荷易從后向通道進(jìn)入單片機(jī)系統(tǒng),故后向通道的隔離對(duì)易從后向通道進(jìn)入單片機(jī)系統(tǒng),故后向通道的隔離對(duì)系統(tǒng)的可靠性影響很大;系統(tǒng)的可靠性影響很大;(3)根據(jù)輸出控制的要求不同,后向通道電路多種多)根據(jù)輸出控制的要求不同,后向通道電路多種多樣,如模擬電路、數(shù)字電路和開關(guān)電路,輸出信號(hào)的樣,如模擬電路、數(shù)字電路和開關(guān)電路,輸出信號(hào)的形式有電流輸出、電壓輸出、開關(guān)量輸出及數(shù)字量輸形式有電流輸出、電壓輸出、開關(guān)

11、量輸出及數(shù)字量輸出等。出等。單片機(jī)系統(tǒng)中的人機(jī)通道是用戶為了對(duì)應(yīng)用系統(tǒng)進(jìn)行干預(yù)(如啟單片機(jī)系統(tǒng)中的人機(jī)通道是用戶為了對(duì)應(yīng)用系統(tǒng)進(jìn)行干預(yù)(如啟動(dòng)、參數(shù)設(shè)置等),以及了解應(yīng)用系統(tǒng)運(yùn)行狀態(tài)所設(shè)置的對(duì)話動(dòng)、參數(shù)設(shè)置等),以及了解應(yīng)用系統(tǒng)運(yùn)行狀態(tài)所設(shè)置的對(duì)話通道,主要有鍵盤、顯示器、打印機(jī)等通道接口。通道,主要有鍵盤、顯示器、打印機(jī)等通道接口。人機(jī)通道的特點(diǎn):人機(jī)通道的特點(diǎn):(1)由于通常的單片機(jī)應(yīng)用系統(tǒng)大多數(shù)是小規(guī)模系統(tǒng),因此,)由于通常的單片機(jī)應(yīng)用系統(tǒng)大多數(shù)是小規(guī)模系統(tǒng),因此,應(yīng)用系統(tǒng)中的人機(jī)對(duì)話通道及人機(jī)對(duì)話設(shè)備的配置都是小規(guī)模應(yīng)用系統(tǒng)中的人機(jī)對(duì)話通道及人機(jī)對(duì)話設(shè)備的配置都是小規(guī)模的,如微型打印機(jī)

12、、功能鍵、的,如微型打印機(jī)、功能鍵、LED/LCD顯示器等。若需要高顯示器等。若需要高水平的人機(jī)對(duì)話配置,如通用打印機(jī)、水平的人機(jī)對(duì)話配置,如通用打印機(jī)、CRT、硬盤、標(biāo)準(zhǔn)鍵盤、硬盤、標(biāo)準(zhǔn)鍵盤等,則往往將單片機(jī)應(yīng)用系統(tǒng)通過外總線與通用計(jì)算機(jī)相連,等,則往往將單片機(jī)應(yīng)用系統(tǒng)通過外總線與通用計(jì)算機(jī)相連,享用通用計(jì)算機(jī)的外圍人機(jī)對(duì)話設(shè)備。享用通用計(jì)算機(jī)的外圍人機(jī)對(duì)話設(shè)備。(2)單片機(jī)應(yīng)用系統(tǒng)中,人機(jī)對(duì)話通道及接口大多采用內(nèi)總線)單片機(jī)應(yīng)用系統(tǒng)中,人機(jī)對(duì)話通道及接口大多采用內(nèi)總線形式,與計(jì)算機(jī)系統(tǒng)擴(kuò)展密切相關(guān)形式,與計(jì)算機(jī)系統(tǒng)擴(kuò)展密切相關(guān)。單片機(jī)系統(tǒng)中的相互通道是解決單片機(jī)系統(tǒng)間相互通信的接口,在較大

13、單片機(jī)系統(tǒng)中的相互通道是解決單片機(jī)系統(tǒng)間相互通信的接口,在較大規(guī)模的多機(jī)測(cè)控系統(tǒng)中,就需要設(shè)計(jì)相互通道接口。規(guī)模的多機(jī)測(cè)控系統(tǒng)中,就需要設(shè)計(jì)相互通道接口。相互通道設(shè)計(jì)中須考慮的問題:相互通道設(shè)計(jì)中須考慮的問題:(1)中、高檔單片機(jī)大多設(shè)有串行端口,為構(gòu)成系統(tǒng)的相互通道提供)中、高檔單片機(jī)大多設(shè)有串行端口,為構(gòu)成系統(tǒng)的相互通道提供了方便條件;了方便條件;(2)單片機(jī)本身的串行口只為相互通道提供了硬件結(jié)構(gòu)及基本的通信)單片機(jī)本身的串行口只為相互通道提供了硬件結(jié)構(gòu)及基本的通信方式,并沒有提供標(biāo)準(zhǔn)的通信規(guī)程。故利用單片機(jī)串行口構(gòu)成相互方式,并沒有提供標(biāo)準(zhǔn)的通信規(guī)程。故利用單片機(jī)串行口構(gòu)成相互通道時(shí),要

14、配置比較復(fù)雜的通信軟件;通道時(shí),要配置比較復(fù)雜的通信軟件;(3)在很多情況下,采用擴(kuò)展標(biāo)準(zhǔn)控制通信芯片來組成相互通道。如)在很多情況下,采用擴(kuò)展標(biāo)準(zhǔn)控制通信芯片來組成相互通道。如,用擴(kuò)展,用擴(kuò)展8250、8251、SIO、8273、MC6850等標(biāo)準(zhǔn)控制通信芯片等標(biāo)準(zhǔn)控制通信芯片來構(gòu)成相互通信接口;來構(gòu)成相互通信接口;(4)相互通信接口都是數(shù)字電路系統(tǒng),抗干擾能力強(qiáng)。但大多數(shù)都需)相互通信接口都是數(shù)字電路系統(tǒng),抗干擾能力強(qiáng)。但大多數(shù)都需遠(yuǎn)距離傳輸,故需要解決長(zhǎng)線傳輸?shù)尿?qū)動(dòng)、匹配、隔離等問題。遠(yuǎn)距離傳輸,故需要解決長(zhǎng)線傳輸?shù)尿?qū)動(dòng)、匹配、隔離等問題。 硬件系統(tǒng)硬件系統(tǒng)+軟件系統(tǒng)軟件系統(tǒng) 單片機(jī)基本

15、結(jié)構(gòu)、擴(kuò)展的存儲(chǔ)器、外圍設(shè)備及其接口單片機(jī)基本結(jié)構(gòu)、擴(kuò)展的存儲(chǔ)器、外圍設(shè)備及其接口電路電路 監(jiān)控程序、各種應(yīng)用程序監(jiān)控程序、各種應(yīng)用程序單片機(jī)應(yīng)用系統(tǒng)的設(shè)計(jì)包含硬件設(shè)計(jì)和軟件設(shè)計(jì)兩部分。硬件設(shè)計(jì)又包括單片單片機(jī)應(yīng)用系統(tǒng)的設(shè)計(jì)包含硬件設(shè)計(jì)和軟件設(shè)計(jì)兩部分。硬件設(shè)計(jì)又包括單片機(jī)系統(tǒng)擴(kuò)展和配置。具體設(shè)計(jì)內(nèi)容包括:機(jī)系統(tǒng)擴(kuò)展和配置。具體設(shè)計(jì)內(nèi)容包括:(1)單片機(jī)系統(tǒng)設(shè)計(jì))單片機(jī)系統(tǒng)設(shè)計(jì) 單片機(jī)本身具備比較強(qiáng)大的功能,但往往不能滿足一個(gè)實(shí)際應(yīng)用系統(tǒng)功能的要單片機(jī)本身具備比較強(qiáng)大的功能,但往往不能滿足一個(gè)實(shí)際應(yīng)用系統(tǒng)功能的要求,有些單片機(jī)本身就缺少一些功能部分,如求,有些單片機(jī)本身就缺少一些功能部分,如8

16、031片內(nèi)無程序存儲(chǔ)器,所以片內(nèi)無程序存儲(chǔ)器,所以要通過系統(tǒng)擴(kuò)展,構(gòu)成一個(gè)完善的計(jì)算機(jī)系統(tǒng),它是單片機(jī)應(yīng)用系統(tǒng)中的核要通過系統(tǒng)擴(kuò)展,構(gòu)成一個(gè)完善的計(jì)算機(jī)系統(tǒng),它是單片機(jī)應(yīng)用系統(tǒng)中的核心部分。系統(tǒng)的擴(kuò)展方法、內(nèi)容、規(guī)模與所用的單片機(jī)和要求有關(guān)。心部分。系統(tǒng)的擴(kuò)展方法、內(nèi)容、規(guī)模與所用的單片機(jī)和要求有關(guān)。單片機(jī)系統(tǒng)擴(kuò)展的設(shè)計(jì)內(nèi)容如下:?jiǎn)纹瑱C(jī)系統(tǒng)擴(kuò)展的設(shè)計(jì)內(nèi)容如下:1)最小系統(tǒng)設(shè)計(jì):給單片機(jī)配以必要的器件構(gòu)成單片機(jī)最小系統(tǒng)。如)最小系統(tǒng)設(shè)計(jì):給單片機(jī)配以必要的器件構(gòu)成單片機(jī)最小系統(tǒng)。如MCS-51系列片內(nèi)有程序存儲(chǔ)器的機(jī)型,只需在片外配置上電源、復(fù)位電路、振蕩電系列片內(nèi)有程序存儲(chǔ)器的機(jī)型,只需在片外

17、配置上電源、復(fù)位電路、振蕩電路,這樣,便于對(duì)單片機(jī)系統(tǒng)進(jìn)行測(cè)試和調(diào)試。路,這樣,便于對(duì)單片機(jī)系統(tǒng)進(jìn)行測(cè)試和調(diào)試。2)系統(tǒng)擴(kuò)展設(shè)計(jì):在單片機(jī)最小系統(tǒng)的基礎(chǔ)上,再配置能滿足應(yīng)用系統(tǒng)要求的)系統(tǒng)擴(kuò)展設(shè)計(jì):在單片機(jī)最小系統(tǒng)的基礎(chǔ)上,再配置能滿足應(yīng)用系統(tǒng)要求的一些外圍功能器件。一些外圍功能器件。()通道和接口設(shè)計(jì)()通道和接口設(shè)計(jì) 由于通道大都是通過由于通道大都是通過I/O口進(jìn)行配置的,與單片機(jī)本身的聯(lián)系不甚緊口進(jìn)行配置的,與單片機(jī)本身的聯(lián)系不甚緊密,故大多數(shù)接口電路都能方便地到其它類型的單片機(jī)應(yīng)用系統(tǒng)中密,故大多數(shù)接口電路都能方便地到其它類型的單片機(jī)應(yīng)用系統(tǒng)中去去()系統(tǒng)抗干擾設(shè)計(jì)()系統(tǒng)抗干擾設(shè)計(jì)抗

18、干擾設(shè)計(jì)要貫穿到應(yīng)用系統(tǒng)設(shè)計(jì)的全過程。從具體方案、器件選擇抗干擾設(shè)計(jì)要貫穿到應(yīng)用系統(tǒng)設(shè)計(jì)的全過程。從具體方案、器件選擇到電路系統(tǒng)設(shè)計(jì),從硬件系統(tǒng)設(shè)計(jì)到軟件系統(tǒng)設(shè)計(jì),都要把抗干擾到電路系統(tǒng)設(shè)計(jì),從硬件系統(tǒng)設(shè)計(jì)到軟件系統(tǒng)設(shè)計(jì),都要把抗干擾設(shè)計(jì)列為一項(xiàng)重要工作。設(shè)計(jì)列為一項(xiàng)重要工作。()應(yīng)用軟件設(shè)計(jì)()應(yīng)用軟件設(shè)計(jì)應(yīng)用軟件是根據(jù)系統(tǒng)功能要求,采用匯編語言或高級(jí)語言進(jìn)行設(shè)計(jì)應(yīng)用軟件是根據(jù)系統(tǒng)功能要求,采用匯編語言或高級(jí)語言進(jìn)行設(shè)計(jì),主要包括:系統(tǒng)軟件設(shè)計(jì)分析、流程圖繪制、程序編制、調(diào)試等。主要包括:系統(tǒng)軟件設(shè)計(jì)分析、流程圖繪制、程序編制、調(diào)試等。單片機(jī)應(yīng)用系統(tǒng)的設(shè)計(jì),單片機(jī)應(yīng)用系統(tǒng)的設(shè)計(jì), 確實(shí)涉及到

19、許多復(fù)雜的內(nèi)容和問題,確實(shí)涉及到許多復(fù)雜的內(nèi)容和問題, 但只要遵循一些系統(tǒng)設(shè)計(jì)的基本原則和方法,但只要遵循一些系統(tǒng)設(shè)計(jì)的基本原則和方法,從應(yīng)用角度出發(fā),從應(yīng)用角度出發(fā), 深入深入了解單片機(jī)應(yīng)用系統(tǒng)的結(jié)構(gòu)和設(shè)計(jì)方法,了解單片機(jī)應(yīng)用系統(tǒng)的結(jié)構(gòu)和設(shè)計(jì)方法, 積極從事積極從事單片機(jī)應(yīng)用系統(tǒng)的設(shè)計(jì)與開發(fā)工作,單片機(jī)應(yīng)用系統(tǒng)的設(shè)計(jì)與開發(fā)工作,肯定能夠成為智能化控制領(lǐng)域的工程師與科學(xué)家肯定能夠成為智能化控制領(lǐng)域的工程師與科學(xué)家! 5.2.1 DS1302的基礎(chǔ)知識(shí)的基礎(chǔ)知識(shí) 5.2.2 DS1302管腳的配置管腳的配置 5.2.3 DS1302采用采用1位位LED顯示時(shí)鐘的設(shè)計(jì)顯示時(shí)鐘的設(shè)計(jì)SPI總線總線(

20、Serial Peripheral Interface-串行外設(shè)串行外設(shè)接口接口) ,又稱同步串行外設(shè)接口,是一種符合,又稱同步串行外設(shè)接口,是一種符合工業(yè)標(biāo)準(zhǔn)、全雙工、三線或四線通信方式的總工業(yè)標(biāo)準(zhǔn)、全雙工、三線或四線通信方式的總線系統(tǒng),它允許線系統(tǒng),它允許MCU與各種外圍設(shè)備以串行與各種外圍設(shè)備以串行方式通信。數(shù)據(jù)的傳輸需要一條時(shí)鐘線、一條方式通信。數(shù)據(jù)的傳輸需要一條時(shí)鐘線、一條數(shù)據(jù)線和一條控制線(有的需兩條),可以工數(shù)據(jù)線和一條控制線(有的需兩條),可以工作在主模式或從模式下。在主模式下每位數(shù)據(jù)作在主模式或從模式下。在主模式下每位數(shù)據(jù)發(fā)送發(fā)送/接收需要一個(gè)時(shí)鐘周期。接收需要一個(gè)時(shí)鐘周期。

21、DS1302 是是美國(guó)美國(guó)DALLAS公司推出的一種高性能、低功耗、公司推出的一種高性能、低功耗、帶帶RAM的實(shí)時(shí)時(shí)鐘電路,它可以對(duì)年、月、星期、日、時(shí)、的實(shí)時(shí)時(shí)鐘電路,它可以對(duì)年、月、星期、日、時(shí)、分、秒進(jìn)行計(jì)時(shí),具有閏年補(bǔ)償功能,時(shí)鐘操作可通過分、秒進(jìn)行計(jì)時(shí),具有閏年補(bǔ)償功能,時(shí)鐘操作可通過AM/PM指示決定采用指示決定采用24或或12小時(shí)格式,工作電壓為小時(shí)格式,工作電壓為2.5V5.5V。采用三線接口與。采用三線接口與CPU進(jìn)行進(jìn)行同步通信同步通信,并可采,并可采用突發(fā)方式一次傳送多個(gè)字節(jié)的用突發(fā)方式一次傳送多個(gè)字節(jié)的時(shí)鐘信號(hào)時(shí)鐘信號(hào)或或RAM數(shù)據(jù)。數(shù)據(jù)。DS1302內(nèi)部有一個(gè)內(nèi)部有一

22、個(gè)318的用于臨時(shí)性存放數(shù)據(jù)的的用于臨時(shí)性存放數(shù)據(jù)的RAM寄寄存器存器。DS1302是是DS1202的升級(jí)產(chǎn)品,與的升級(jí)產(chǎn)品,與DS1202兼容,兼容,但增加了主電源但增加了主電源/后備電源雙電源引腳,同時(shí)提供了對(duì)后備后備電源雙電源引腳,同時(shí)提供了對(duì)后備電源進(jìn)行涓細(xì)電流充電的能力。電源進(jìn)行涓細(xì)電流充電的能力。Vcc1為后備電源,為后備電源,Vcc2為主電源,在主電源關(guān)閉的情況為主電源,在主電源關(guān)閉的情況下,也能保持時(shí)鐘的連續(xù)運(yùn)行,由下,也能保持時(shí)鐘的連續(xù)運(yùn)行,由Vcc1或或Vcc2兩者中的兩者中的較大者供電。較大者供電。X1和和X2是振蕩源,外接是振蕩源,外接32.768kHz晶振。晶振。SC

23、LK為時(shí)鐘輸入端,為時(shí)鐘輸入端, I/O為串行數(shù)據(jù)輸入輸出端為串行數(shù)據(jù)輸入輸出端(雙向雙向)。RST是復(fù)位是復(fù)位/片選線片選線,通過把,通過把RST輸入驅(qū)動(dòng)置高電平來啟輸入驅(qū)動(dòng)置高電平來啟動(dòng)所有的動(dòng)所有的數(shù)據(jù)傳送數(shù)據(jù)傳送。RST輸入有兩種功能:首先,輸入有兩種功能:首先,RST接接通控制邏輯,允許地址通控制邏輯,允許地址/命令序列送入命令序列送入移位寄存器移位寄存器;其次,;其次,RST提供終止單字節(jié)或多字節(jié)數(shù)據(jù)傳送的方法。當(dāng)提供終止單字節(jié)或多字節(jié)數(shù)據(jù)傳送的方法。當(dāng)RST為為高電平時(shí),所有的高電平時(shí),所有的數(shù)據(jù)傳送數(shù)據(jù)傳送被初始化,允許對(duì)被初始化,允許對(duì)DS1302進(jìn)進(jìn)行操作。如果在傳送過程中

24、行操作。如果在傳送過程中RST置為低電平,則會(huì)終止此置為低電平,則會(huì)終止此次次數(shù)據(jù)傳送數(shù)據(jù)傳送,I/O引腳變?yōu)楦咦钁B(tài)。上電運(yùn)行時(shí),在引腳變?yōu)楦咦钁B(tài)。上電運(yùn)行時(shí),在Vcc2.0V之前,之前,RST必須保持低電平。只有在必須保持低電平。只有在SCLK為低為低電平時(shí),才能將電平時(shí),才能將RST置為高電平置為高電平。命令字節(jié)中的每一位都命令字節(jié)中的每一位都是在是在SCLK的上升沿送出的。的上升沿送出的。 (1)設(shè)計(jì)要求)設(shè)計(jì)要求DS1302采用1位LED顯示時(shí)鐘的設(shè)計(jì)(2)設(shè)計(jì)分析)設(shè)計(jì)分析最小的單片機(jī)系統(tǒng)+數(shù)碼管+DS1302 DS1302為實(shí)時(shí)時(shí)鐘/日歷芯片,具有調(diào)時(shí)功能,因此需要一個(gè)調(diào)整控制按鍵

25、及星期、年、月、日、時(shí)分的調(diào)整鍵。由于只有1位LED進(jìn)行,因此可用單片機(jī)的P2口直接驅(qū)動(dòng)。Proteus ISIS7軟件自帶DS1302時(shí)鐘窗口(在仿真時(shí)自動(dòng)彈出),因此LED專門用來顯示星期。(3)系統(tǒng)原理圖設(shè)計(jì))系統(tǒng)原理圖設(shè)計(jì) 單片機(jī)AT89C51瓷片電容CAP 30pf晶振CRYSTAL 12MHz電阻RES按鈕BUTTON電解電容CAP-ELEC時(shí)鐘芯片DS13027SEG-COM-ANODE(4)程序流程圖設(shè)計(jì))程序流程圖設(shè)計(jì)(略略)(5)源程序設(shè)計(jì)()源程序設(shè)計(jì)( C語言)語言)#include#define uchar unsigned char#define uint unsi

26、gned intuchar xingqi,nian,yue,ri,xiaoshi,fen,miao;uchar dd=2;sbit clk=P10;sbit dat=P11; sbit rst=P12;sbit A0=ACC0;sbit A1=ACC1;sbit A2=ACC2;sbit A3=ACC3;sbit A4=ACC4;sbit A5=ACC5;sbit A6=ACC6;sbit A7=ACC7;sbit p30=P30;sbit p31=P31;sbit p32=P32;sbit p33=P33;sbit p34=P34;sbit p35=P35;sbit p36=P36;sbit

27、 p37=P37;bit flag=0;uchar code tab=0 xff, /共陰極數(shù)碼管任意值共陰極數(shù)碼管任意值 0XF9,0XA4,0XB0,0X99,0X92,0X82,0XF8,;/17uchar code tab1=0 x00,0 x01,0 x02,0 x03,0 x04,0 x05,0 x06,0 x07, 0 x08,0 x09,0 x10,0 x11,0 x12,0 x13,0 x14,0 x15, 0 x16,0 x17,0 x18,0 x19,0 x20,0 x21,0 x22,0 x23, 0 x24,0 x25,0 x26,0 x27,0 x28,0 x29,

28、0 x30,0 x31, 0 x32,0 x33,0 x34,0 x35,0 x36,0 x37,0 x38,0 x39, 0 x40,0 x41,0 x42,0 x43,0 x44,0 x45,0 x46,0 x47, 0 x48,0 x49,0 x50,0 x51,0 x52,0 x53,0 x54,0 x55, 0 x56,0 x57,0 x58,0 x59,0 x60;void InputByte(uchar dd) /寫一個(gè)字節(jié)到寫一個(gè)字節(jié)到1302中中 uchar i; ACC=dd; for(i=8;i0;i-) dat=A0; clk=1; clk=0; ACC=ACC=1;

29、void OutputByte(void) /從從ds1302中中讀取讀取i個(gè)數(shù)據(jù)個(gè)數(shù)據(jù) uchar i; dat=1; for(i=8;i0;i-) ACC=ACC1; A7=dat; clk=1; clk=0; dd=ACC; void Write(uchar addr,uchar num) rst=0; clk=0; rst=1; InputByte(addr); /寫地址寫地址 InputByte(num); /寫數(shù)據(jù)寫數(shù)據(jù) clk=1; rst=0; void WriteSec(uchar num) Write(0 x80,num); void WriteMin(uchar num)

30、 Write(0 x82,num); void WriteHr(uchar num) Write(0 x84,num); void WriteDay(uchar num) Write(0 x86,num); void WriteMn(uchar num) Write(0 x88,num); void WriteWe(uchar num) Write(0 x8a,num); void WriteYs(uchar num) Write(0 x8c,num); void DisableWP(void) Write(0 x8e,0 x00); void Read(uchar addr) rst=0;

31、clk=0; rst=1; InputByte(addr); OutputByte(); clk=1; rst=0; /初始化設(shè)置時(shí)間初始化設(shè)置時(shí)間2008年年5月月27日日23時(shí)時(shí)58分分00秒秒void main(void) unchar i; unchar fen1,fen2,fen3,fen4; P3=0 xff; disableWP; /非寫保護(hù)非寫保護(hù) WriteSec(0 x00); WriteMin0 x58); WriteHr(0 x23); WriteDay(0 x27); WriteMn(0 x05); WriteYs(0 x08); WriteWe(0 x02); Re

32、ad(0 x8b); xingqi=dd; P2=tabxinqi; /修改修改 (6)系統(tǒng)調(diào)試與仿真 1)keil c 調(diào)試; 2)proteus調(diào)試; 3)聯(lián)合調(diào)試; 4)仿真結(jié)果。設(shè)計(jì)設(shè)計(jì)1 1位位LEDLED顯示時(shí)鐘顯示時(shí)鐘是不是很簡(jiǎn)單?是不是很簡(jiǎn)單? 設(shè)計(jì)星期、年、月、日、時(shí)、分全部顯示的設(shè)計(jì)星期、年、月、日、時(shí)、分全部顯示的時(shí)鐘時(shí)鐘呢?呢? 你是否能夠設(shè)計(jì)一個(gè)鬧鐘呢?你是否能夠設(shè)計(jì)一個(gè)鬧鐘呢? 。單片機(jī)應(yīng)用系統(tǒng)的設(shè)計(jì),單片機(jī)應(yīng)用系統(tǒng)的設(shè)計(jì), 原來是如此的簡(jiǎn)單??!原來是如此的簡(jiǎn)單??! 設(shè)計(jì)能力提高了,自信心自然也就提高了!設(shè)計(jì)能力提高了,自信心自然也就提高了!5.3.1 電動(dòng)機(jī)簡(jiǎn)介電

33、動(dòng)機(jī)簡(jiǎn)介5.3.2 電動(dòng)機(jī)的基本原理電動(dòng)機(jī)的基本原理5.3.3 電動(dòng)機(jī)控制電動(dòng)機(jī)控制電動(dòng)機(jī)電動(dòng)機(jī):是將電能轉(zhuǎn)變?yōu)闄C(jī)械能的一種機(jī)器,它是利用:是將電能轉(zhuǎn)變?yōu)闄C(jī)械能的一種機(jī)器,它是利用通電線圈在磁場(chǎng)中受力轉(zhuǎn)動(dòng)的現(xiàn)象制成。通電線圈在磁場(chǎng)中受力轉(zhuǎn)動(dòng)的現(xiàn)象制成。 按使用電源不同分為按使用電源不同分為直流電動(dòng)機(jī)直流電動(dòng)機(jī)和和交流電動(dòng)機(jī)交流電動(dòng)機(jī)。 電力系統(tǒng)中的電動(dòng)機(jī)大部分是交流電機(jī),可以是同步電力系統(tǒng)中的電動(dòng)機(jī)大部分是交流電機(jī),可以是同步電機(jī)或者是異步電機(jī)(電機(jī)定子磁場(chǎng)轉(zhuǎn)速與轉(zhuǎn)子旋轉(zhuǎn)轉(zhuǎn)電機(jī)或者是異步電機(jī)(電機(jī)定子磁場(chǎng)轉(zhuǎn)速與轉(zhuǎn)子旋轉(zhuǎn)轉(zhuǎn)速不保持同步)。速不保持同步)。通常電動(dòng)機(jī)的做功部分作旋轉(zhuǎn)運(yùn)動(dòng),這種電動(dòng)機(jī)

34、稱為轉(zhuǎn)通常電動(dòng)機(jī)的做功部分作旋轉(zhuǎn)運(yùn)動(dòng),這種電動(dòng)機(jī)稱為轉(zhuǎn)子電動(dòng)機(jī);也有作直線運(yùn)動(dòng)的,稱為直線電動(dòng)機(jī)。子電動(dòng)機(jī);也有作直線運(yùn)動(dòng)的,稱為直線電動(dòng)機(jī)。電動(dòng)機(jī)能提供的電動(dòng)機(jī)能提供的功率范圍很大功率范圍很大,從毫瓦級(jí)到萬千瓦級(jí);,從毫瓦級(jí)到萬千瓦級(jí);電動(dòng)機(jī)的使用和控制非常方便,具有電動(dòng)機(jī)的使用和控制非常方便,具有自起動(dòng)自起動(dòng) 、加速、制動(dòng)、加速、制動(dòng)、反轉(zhuǎn)、掣住反轉(zhuǎn)、掣住等能力,能滿足各種運(yùn)行要求;等能力,能滿足各種運(yùn)行要求;電動(dòng)機(jī)的電動(dòng)機(jī)的工作效率較高,又沒有煙塵、氣味,不污染環(huán)境,工作效率較高,又沒有煙塵、氣味,不污染環(huán)境,噪聲也較小噪聲也較??;由于它的一系列優(yōu)點(diǎn),所以在工農(nóng)業(yè)生產(chǎn)、交通運(yùn)輸、國(guó)由于它

35、的一系列優(yōu)點(diǎn),所以在工農(nóng)業(yè)生產(chǎn)、交通運(yùn)輸、國(guó)防、商業(yè)及家用電器、醫(yī)療電器設(shè)備等各方面廣泛應(yīng)用。防、商業(yè)及家用電器、醫(yī)療電器設(shè)備等各方面廣泛應(yīng)用。電動(dòng)機(jī)按用途可分為電動(dòng)機(jī)按用途可分為驅(qū)動(dòng)用電動(dòng)機(jī)驅(qū)動(dòng)用電動(dòng)機(jī)和和控制用電動(dòng)機(jī)控制用電動(dòng)機(jī)。 驅(qū)動(dòng)用電動(dòng)機(jī)驅(qū)動(dòng)用電動(dòng)機(jī)又分為:又分為: 電動(dòng)工具用電動(dòng)機(jī)(包括鉆孔、拋光、磨光、開槽、切割、電動(dòng)工具用電動(dòng)機(jī)(包括鉆孔、拋光、磨光、開槽、切割、擴(kuò)孔等工具);擴(kuò)孔等工具); 家電用電動(dòng)機(jī)(包括洗衣機(jī)、電風(fēng)扇、電冰箱、空調(diào)器、家電用電動(dòng)機(jī)(包括洗衣機(jī)、電風(fēng)扇、電冰箱、空調(diào)器、錄音機(jī)、錄像機(jī)、影碟機(jī)、吸塵器、照相機(jī)、電吹風(fēng)、電動(dòng)錄音機(jī)、錄像機(jī)、影碟機(jī)、吸塵器、照相

36、機(jī)、電吹風(fēng)、電動(dòng)剃須刀等);剃須刀等); 其它通用小型機(jī)械設(shè)備用電動(dòng)機(jī)(包括各種小型機(jī)床、小其它通用小型機(jī)械設(shè)備用電動(dòng)機(jī)(包括各種小型機(jī)床、小型機(jī)械、醫(yī)療器械、電子儀器等)。型機(jī)械、醫(yī)療器械、電子儀器等)。 控制用電動(dòng)機(jī)控制用電動(dòng)機(jī)又分為:又分為: 步進(jìn)電動(dòng)機(jī)和伺服電動(dòng)機(jī)等。步進(jìn)電動(dòng)機(jī)和伺服電動(dòng)機(jī)等。步進(jìn)電動(dòng)機(jī)步進(jìn)電動(dòng)機(jī):是一種將脈沖信號(hào)變換成相應(yīng)的角位移是一種將脈沖信號(hào)變換成相應(yīng)的角位移(或線位移或線位移)的電磁裝置,是一種特殊的電動(dòng)機(jī)。的電磁裝置,是一種特殊的電動(dòng)機(jī)。 一般電動(dòng)機(jī)都是連續(xù)轉(zhuǎn)動(dòng)的,而步進(jìn)電動(dòng)機(jī)則有定位和運(yùn)轉(zhuǎn)兩一般電動(dòng)機(jī)都是連續(xù)轉(zhuǎn)動(dòng)的,而步進(jìn)電動(dòng)機(jī)則有定位和運(yùn)轉(zhuǎn)兩種基本狀態(tài):種

37、基本狀態(tài): 當(dāng)有脈沖輸入時(shí)當(dāng)有脈沖輸入時(shí),步進(jìn)電動(dòng)機(jī)一步一步地轉(zhuǎn)動(dòng),每給它一個(gè)脈步進(jìn)電動(dòng)機(jī)一步一步地轉(zhuǎn)動(dòng),每給它一個(gè)脈沖信號(hào),它就轉(zhuǎn)過一定的角度。步進(jìn)電動(dòng)機(jī)的角位移量和輸入沖信號(hào),它就轉(zhuǎn)過一定的角度。步進(jìn)電動(dòng)機(jī)的角位移量和輸入脈沖的個(gè)數(shù)嚴(yán)格成正比,在時(shí)間上與輸入脈沖同步,因此只要脈沖的個(gè)數(shù)嚴(yán)格成正比,在時(shí)間上與輸入脈沖同步,因此只要控制輸入脈沖的數(shù)量、頻率及電動(dòng)機(jī)繞組通電的相序,便可獲控制輸入脈沖的數(shù)量、頻率及電動(dòng)機(jī)繞組通電的相序,便可獲得所需的轉(zhuǎn)角、轉(zhuǎn)速及轉(zhuǎn)動(dòng)方向。得所需的轉(zhuǎn)角、轉(zhuǎn)速及轉(zhuǎn)動(dòng)方向。 在沒有脈沖輸入時(shí),在繞組電源的激勵(lì)下氣隙磁場(chǎng)能使轉(zhuǎn)子在沒有脈沖輸入時(shí),在繞組電源的激勵(lì)下氣隙磁場(chǎng)

38、能使轉(zhuǎn)子保持原有位置處于定位狀態(tài)。保持原有位置處于定位狀態(tài)。步進(jìn)電動(dòng)機(jī)步進(jìn)電動(dòng)機(jī)分為機(jī)電式、磁電式及直線式三種基本類型。分為機(jī)電式、磁電式及直線式三種基本類型。步進(jìn)電機(jī)的應(yīng)用步進(jìn)電機(jī)的應(yīng)用: 精度高,運(yùn)行可靠精度高,運(yùn)行可靠,主要用于數(shù)字控制系統(tǒng)中。如采主要用于數(shù)字控制系統(tǒng)中。如采用位置檢測(cè)和速度反饋,亦可實(shí)現(xiàn)閉環(huán)控制。用位置檢測(cè)和速度反饋,亦可實(shí)現(xiàn)閉環(huán)控制。 步進(jìn)電動(dòng)機(jī)已廣泛地應(yīng)用于數(shù)字控制系統(tǒng)中,如數(shù)模步進(jìn)電動(dòng)機(jī)已廣泛地應(yīng)用于數(shù)字控制系統(tǒng)中,如數(shù)模轉(zhuǎn)換裝置、數(shù)控機(jī)床、計(jì)算機(jī)外圍設(shè)備、自動(dòng)記錄儀、轉(zhuǎn)換裝置、數(shù)控機(jī)床、計(jì)算機(jī)外圍設(shè)備、自動(dòng)記錄儀、鐘表等之中,另外在工業(yè)自動(dòng)化生產(chǎn)線、印刷設(shè)備等中

39、鐘表等之中,另外在工業(yè)自動(dòng)化生產(chǎn)線、印刷設(shè)備等中亦有應(yīng)用亦有應(yīng)用。機(jī)電式步進(jìn)電動(dòng)機(jī)機(jī)電式步進(jìn)電動(dòng)機(jī)由鐵心、線圈、齒輪由鐵心、線圈、齒輪機(jī)構(gòu)等組成。機(jī)構(gòu)等組成。螺線管線圈通電時(shí)將產(chǎn)生磁力,推動(dòng)其螺線管線圈通電時(shí)將產(chǎn)生磁力,推動(dòng)其鐵心心子運(yùn)動(dòng),通過齒輪機(jī)構(gòu)使輸出軸鐵心心子運(yùn)動(dòng),通過齒輪機(jī)構(gòu)使輸出軸轉(zhuǎn)動(dòng)一角度,通過抗旋轉(zhuǎn)齒輪使輸出轉(zhuǎn)轉(zhuǎn)動(dòng)一角度,通過抗旋轉(zhuǎn)齒輪使輸出轉(zhuǎn)軸保持在新的工作位置;線圈再通電,軸保持在新的工作位置;線圈再通電,轉(zhuǎn)軸又轉(zhuǎn)動(dòng)一角度,依次進(jìn)行步進(jìn)運(yùn)動(dòng)。轉(zhuǎn)軸又轉(zhuǎn)動(dòng)一角度,依次進(jìn)行步進(jìn)運(yùn)動(dòng)。在電動(dòng)機(jī)定子上有在電動(dòng)機(jī)定子上有A、B、C三對(duì)磁極,磁極上三對(duì)磁極,磁極上繞有線圈,分別稱之為繞有

40、線圈,分別稱之為A相、相、B相和相和C相,而轉(zhuǎn)相,而轉(zhuǎn)子則是一個(gè)帶齒的鐵心,這種步進(jìn)電動(dòng)機(jī)稱之子則是一個(gè)帶齒的鐵心,這種步進(jìn)電動(dòng)機(jī)稱之為三相步進(jìn)電動(dòng)機(jī)。如果在線圈中通以直流電為三相步進(jìn)電動(dòng)機(jī)。如果在線圈中通以直流電,就會(huì)產(chǎn)生磁場(chǎng),當(dāng),就會(huì)產(chǎn)生磁場(chǎng),當(dāng)A、B、C三個(gè)磁極的線圈三個(gè)磁極的線圈依次輪流通電,則依次輪流通電,則A、B、C三對(duì)磁極就依次輪三對(duì)磁極就依次輪流產(chǎn)生磁場(chǎng)吸引轉(zhuǎn)子轉(zhuǎn)動(dòng)。這樣按流產(chǎn)生磁場(chǎng)吸引轉(zhuǎn)子轉(zhuǎn)動(dòng)。這樣按ABCABCA次序輪流通電,次序輪流通電,步進(jìn)電動(dòng)機(jī)就一步一步地按逆時(shí)針方向旋轉(zhuǎn)。步進(jìn)電動(dòng)機(jī)就一步一步地按逆時(shí)針方向旋轉(zhuǎn)。通電線圈每轉(zhuǎn)換一次,步進(jìn)電動(dòng)機(jī)旋轉(zhuǎn)通電線圈每轉(zhuǎn)換一次,步

41、進(jìn)電動(dòng)機(jī)旋轉(zhuǎn)30,我們把步進(jìn)電動(dòng)機(jī)每步轉(zhuǎn)過的角度稱之為步距我們把步進(jìn)電動(dòng)機(jī)每步轉(zhuǎn)過的角度稱之為步距角。如果把步進(jìn)電動(dòng)機(jī)通電線圈轉(zhuǎn)換的次序倒角。如果把步進(jìn)電動(dòng)機(jī)通電線圈轉(zhuǎn)換的次序倒過來換成過來換成ACBACB的順序,則的順序,則步進(jìn)電動(dòng)機(jī)將按順時(shí)針方向旋轉(zhuǎn),所以要改變步進(jìn)電動(dòng)機(jī)將按順時(shí)針方向旋轉(zhuǎn),所以要改變步進(jìn)電動(dòng)機(jī)的旋轉(zhuǎn)方向可以在任何一相通電時(shí)步進(jìn)電動(dòng)機(jī)的旋轉(zhuǎn)方向可以在任何一相通電時(shí)進(jìn)行進(jìn)行。直流電動(dòng)機(jī):直流電動(dòng)機(jī):將直流電能轉(zhuǎn)換為機(jī)械能將直流電能轉(zhuǎn)換為機(jī)械能的的電動(dòng)機(jī)電動(dòng)機(jī)。因其良好的調(diào)速性能而在。因其良好的調(diào)速性能而在電電力拖動(dòng)力拖動(dòng)中得到廣泛應(yīng)用。直流電動(dòng)機(jī)按中得到廣泛應(yīng)用。直流電動(dòng)機(jī)按

42、勵(lì)磁方式分為永磁、他勵(lì)和自勵(lì)勵(lì)磁方式分為永磁、他勵(lì)和自勵(lì)3類類,其其中自勵(lì)又分為中自勵(lì)又分為并勵(lì)并勵(lì)、串勵(lì)和復(fù)勵(lì)、串勵(lì)和復(fù)勵(lì)3種。種。直流電動(dòng)機(jī)直流電動(dòng)機(jī) 特點(diǎn)特點(diǎn)(一一)調(diào)速性能好。所謂調(diào)速性能好。所謂“調(diào)速性能調(diào)速性能”,是指是指電動(dòng)機(jī)電動(dòng)機(jī)在一定負(fù)載的條件下,根據(jù)在一定負(fù)載的條件下,根據(jù)需要,人為地改變電動(dòng)機(jī)的轉(zhuǎn)速。直流需要,人為地改變電動(dòng)機(jī)的轉(zhuǎn)速。直流電動(dòng)機(jī)可以在重負(fù)載條件下,實(shí)現(xiàn)均勻、電動(dòng)機(jī)可以在重負(fù)載條件下,實(shí)現(xiàn)均勻、平滑的無級(jí)調(diào)速,而且調(diào)速范圍較寬。平滑的無級(jí)調(diào)速,而且調(diào)速范圍較寬。(二二)起動(dòng)力矩大。可以均勻而經(jīng)濟(jì)地實(shí)起動(dòng)力矩大。可以均勻而經(jīng)濟(jì)地實(shí)現(xiàn)轉(zhuǎn)速調(diào)節(jié)。因此,凡是在重負(fù)載

43、下起現(xiàn)轉(zhuǎn)速調(diào)節(jié)。因此,凡是在重負(fù)載下起動(dòng)或要求均勻調(diào)節(jié)轉(zhuǎn)速的機(jī)械,例如大動(dòng)或要求均勻調(diào)節(jié)轉(zhuǎn)速的機(jī)械,例如大型可逆軋鋼機(jī)、卷揚(yáng)機(jī)、電力機(jī)車、型可逆軋鋼機(jī)、卷揚(yáng)機(jī)、電力機(jī)車、電電車車等,都用直流電動(dòng)機(jī)拖動(dòng)。等,都用直流電動(dòng)機(jī)拖動(dòng)。(1)設(shè)計(jì)要求)設(shè)計(jì)要求利用步進(jìn)電動(dòng)機(jī)進(jìn)行轉(zhuǎn)速控制。(2)設(shè)計(jì)分析)設(shè)計(jì)分析最小的單片機(jī)系統(tǒng)+步進(jìn)電動(dòng)機(jī)+兩個(gè)按鍵利用單片機(jī)控制脈沖發(fā)生器產(chǎn)生一定頻率的脈沖信號(hào),脈沖分配器將產(chǎn)生一定規(guī)律的電脈沖輸出給驅(qū)動(dòng)器,可控制步進(jìn)電動(dòng)機(jī)的轉(zhuǎn)動(dòng): 轉(zhuǎn)動(dòng)的角度大小與施加的脈沖數(shù)成正比, 轉(zhuǎn)動(dòng)的速度與施加的脈沖頻率成正比, 轉(zhuǎn)動(dòng)的方向與施加的脈沖順序有關(guān)。(3)系統(tǒng)原理圖設(shè)計(jì))系統(tǒng)原理圖設(shè)

44、計(jì) 單片機(jī)AT89C51瓷片電容CAP 30pf晶振CRYSTAL 12MHz電阻RES按鈕BUTTON電阻排RESPACK-7電解電容CAP-ELEC電動(dòng)機(jī)MPTOR-STEPPERXTAL218XTAL119ALE30EA31PSEN29RST9P0.0/AD039P0.1/AD138P0.2/AD237P0.3/AD336P0.4/AD435P0.5/AD534P0.6/AD633P0.7/AD732P1.01P1.12P1.23P1.34P1.45P1.56P1.67P1.78P3.0/RXD10P3.1/TXD11P3.2/INT012P3.3/INT113P3.4/T014P3.7

45、/RD17P3.6/WR16P3.5/T115P2.7/A1528P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427U1AT89C51X1CRYSTALC130pFC230pFR1100C31uFR210k23456781RP1RESPACK-7+88.8k1k2快速快速慢速慢速(4)程序流程圖設(shè)計(jì))程序流程圖設(shè)計(jì)(略略)(5)源程序設(shè)計(jì)()源程序設(shè)計(jì)( C語言)語言)#includereg51.h#define uint unsigned int#define uchar unsigned charlong

46、 a=155;code tab=0 x01,0 x02,0 x04,0 x08; /1相勵(lì)磁法,正傳void int0() interrupt 0 a+=100; if(a65535) a=65534; void int1() interrupt 2 a-=100; if(a0) a=0; void delay(n) /延時(shí) long i; for(i=0;in;i+); main() uchar i; EX0=1; /打開外部中斷0 IT0=1; /下降沿觸發(fā)中斷INT0 EX1=1; /打開外部中斷1 IT1=1; /下降沿觸發(fā)中斷INT1 EA=1; while(1) for(i=0;i

47、=65535) a=65534; void int1() interrupt 2 /使占空比減小 a-=3000; if(a0) a=0;void time0_server_(void) interrupt 1 if(flag=1) P2_1=0; TH0=65535-(65535-a)/256); TL0=65535-(65535-a)%256); if(flag=0) P2_1=1; TH0=(65535-a)/256; TL0=(65535-a)%256; flag=flag; void Init_t0(void) /初始化 TMOD=0X01; /選擇方式1 TH0=(65535-a)

48、/256; TL0=(65535-a)%256; ET0=1; TR0=1; EX0=1; /打開外部中斷0 IT0=1; /下降沿觸發(fā)中斷INT0 EX1=1; /打開外部中斷1 IT1=1; /下降沿觸發(fā)中斷INT1 EA=1; void main(void) P2_1=1; Init_t0(); /初始化 while(1); (6)系統(tǒng)調(diào)試與仿真 1)keil c 調(diào)試; 2)proteus調(diào)試; 3)聯(lián)合調(diào)試; 4)仿真結(jié)果。 XTAL218XTAL119ALE30EA31PSEN29RST9P0.0/AD039P0.1/AD138P0.2/AD237P0.3/AD336P0.4/AD

49、435P0.5/AD534P0.6/AD633P0.7/AD732P1.01P1.12P1.23P1.34P1.45P1.56P1.67P1.78P3.0/RXD10P3.1/TXD11P3.2/INT012P3.3/INT113P3.4/T014P3.7/RD17P3.6/WR16P3.5/T115P2.7/A1528P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427U1AT89C51X1CRYSTALC130pFC230pFR1100C31uFR210kk1k2快速快速慢速慢速+2.74D11N4007(

50、1)設(shè)計(jì)要求)設(shè)計(jì)要求利用步進(jìn)電動(dòng)機(jī)進(jìn)行啟動(dòng)、停止控制。(2)設(shè)計(jì)分析)設(shè)計(jì)分析最小的單片機(jī)系統(tǒng)+步進(jìn)電動(dòng)機(jī)+兩個(gè)按鍵轉(zhuǎn)動(dòng)的方向與施加的脈沖順序有關(guān): 啟動(dòng):施加脈沖順序改變 停止:施加脈沖順序不變步進(jìn)電動(dòng)機(jī)啟動(dòng)、停止步進(jìn)電動(dòng)機(jī)啟動(dòng)、停止(3)系統(tǒng)原理圖設(shè)計(jì))系統(tǒng)原理圖設(shè)計(jì) 單片機(jī)AT89C51瓷片電容CAP 30pf晶振CRYSTAL 12MHz電阻RES按鈕BUTTON電解電容CAP-ELEC電動(dòng)機(jī)MPTOR-STEPPER(4)程序流程圖設(shè)計(jì))程序流程圖設(shè)計(jì)(略略)(5)源程序設(shè)計(jì)()源程序設(shè)計(jì)( C語言)語言)#includereg51.h#define uint unsigned i

51、nt#define uchar unsigned charuchar flag=0;code tab=0 x01,0 x02,0 x04,0 x08; void int0() interrupt 0 flag=1;void int1() interrupt 2 flag=0;void delay() long j; for(j=0;j1000;j+);main() uchar i=0; EX0=1; IT0=1; EX1=1; IT1=1; EA=1; P2=0 x00; while(1) if(flag=1) for(i=0;i4;i+) P2=tabi;delay(); if(flag=0

52、) P2=tabi;(6)系統(tǒng)調(diào)試與仿真 1)keil c 調(diào)試; 2)proteus調(diào)試; 3)聯(lián)合調(diào)試; 4)仿真結(jié)果。 (1)設(shè)計(jì)要求)設(shè)計(jì)要求利用直流電動(dòng)機(jī)進(jìn)行啟動(dòng)、停止控制。(2)設(shè)計(jì)分析)設(shè)計(jì)分析最小的單片機(jī)系統(tǒng)+直流電動(dòng)機(jī)+兩個(gè)按鍵 啟動(dòng):施加電壓 停止:不施加電壓直流電動(dòng)機(jī)啟動(dòng)、停止直流電動(dòng)機(jī)啟動(dòng)、停止(3)系統(tǒng)原理圖設(shè)計(jì))系統(tǒng)原理圖設(shè)計(jì) 單片機(jī)AT89C51瓷片電容CAP 30pf晶振CRYSTAL 12MHz電阻RES按鈕BUTTON電解電容CAP-ELEC電動(dòng)機(jī)MPTOR(4)程序流程圖設(shè)計(jì))程序流程圖設(shè)計(jì)(略略)(5)源程序設(shè)計(jì)()源程序設(shè)計(jì)( C語言)語言)#incl

53、udereg51.h#define uint unsigned int#define uchar unsigned charsbit p30=P30;sbit p31=P31;void main(void) P2=0 x00; /默認(rèn)電動(dòng)機(jī)不轉(zhuǎn)動(dòng) P3=0 xFF; while(1) if(p30=0) /電動(dòng)機(jī)轉(zhuǎn)動(dòng) P2=0 xff; if(p31=0) /電動(dòng)機(jī)停止轉(zhuǎn)動(dòng) P2=0X00; (6)系統(tǒng)調(diào)試與仿真 1)keil c 調(diào)試; 2)proteus調(diào)試; 3)聯(lián)合調(diào)試; 4)仿真結(jié)果。 (1)設(shè)計(jì)要求)設(shè)計(jì)要求利用步進(jìn)電動(dòng)機(jī)進(jìn)行正轉(zhuǎn)、反轉(zhuǎn)控制。(2)設(shè)計(jì)分析)設(shè)計(jì)分析最小的單片機(jī)系統(tǒng)

54、+電動(dòng)機(jī)+兩個(gè)按鍵轉(zhuǎn)動(dòng)的方向與施加的脈沖順序有關(guān):正轉(zhuǎn):施加正轉(zhuǎn)改變順序脈沖反轉(zhuǎn):施加反轉(zhuǎn)改變順序脈沖步進(jìn)電動(dòng)機(jī)正轉(zhuǎn)、反轉(zhuǎn)步進(jìn)電動(dòng)機(jī)正轉(zhuǎn)、反轉(zhuǎn)(3)系統(tǒng)原理圖設(shè)計(jì))系統(tǒng)原理圖設(shè)計(jì) 單片機(jī)AT89C51瓷片電容CAP 30pf晶振CRYSTAL 12MHz電阻RES按鈕BUTTON電解電容CAP-ELEC電動(dòng)機(jī)MPTOR-STEPPER反相器ULN2003A(4)程序流程圖設(shè)計(jì))程序流程圖設(shè)計(jì)(略略)(5)源程序設(shè)計(jì)()源程序設(shè)計(jì)( C語言)語言)#includereg51.h#define uint unsigned int#define uchar unsigned charuchar fl

55、ag0=0,flag1=0;code tab=0 x02,0 x06,0 x04,0 x0c,0 x08,0 x09,0 x01,0 x03;code tab1=0 x03,0 x01,0 x09,0 x08,0 x0c,0 x04,0 x06,0 x02;void int0() interrupt 0 flag1=0; flag0=1; void int1() interrupt 2 flag0=0;flag1=1;void delay(void) long j; for(j=0;j10000;j+);void main(void) uchar i=0; EX0=1; IT0=1; EX1=

56、1; IT1=1; EA=1; P2=0 x00; while(1) P2=0 x00; if(flag0=1) for(i=0;i8;i+) P2=tabi; delay(); if(flag1=1) for(i=0;i8;i+) P2=tab1i;delay(); (6)系統(tǒng)調(diào)試與仿真 1)keil c 調(diào)試; 2)proteus調(diào)試; 3)聯(lián)合調(diào)試; 4)仿真結(jié)果。 (1)設(shè)計(jì)要求)設(shè)計(jì)要求利用直流電動(dòng)機(jī)進(jìn)行正轉(zhuǎn)、反轉(zhuǎn)控制。(2)設(shè)計(jì)分析)設(shè)計(jì)分析最小的單片機(jī)系統(tǒng)+直流電動(dòng)機(jī)+兩個(gè)按鍵利用繼電器更改直流電源的正負(fù)極性直流電動(dòng)機(jī)正轉(zhuǎn)、反轉(zhuǎn)直流電動(dòng)機(jī)正轉(zhuǎn)、反轉(zhuǎn)(3)系統(tǒng)原理圖設(shè)計(jì))系統(tǒng)原理圖

57、設(shè)計(jì) 單片機(jī)AT89C51瓷片電容CAP 30pf晶振CRYSTAL 12MHz電阻RES非門74LS14按鈕BUTTON電解電容CAP-ELEC電動(dòng)機(jī)MPTOR反相器ULN2003A繼電器G2R-IE-DC12(4)程序流程圖設(shè)計(jì))程序流程圖設(shè)計(jì)(略略)(5)源程序設(shè)計(jì)()源程序設(shè)計(jì)( C語言)語言)#includereg51.h#define uint unsigned int#define uchar unsigned charsbit p20=P20;sbit p21=P21;void main(void) P2=0XFF; while(1) if(p20=0) /正轉(zhuǎn),默認(rèn)的是正轉(zhuǎn)

58、P3=0 xff; if(p21=0) /反轉(zhuǎn) P3=0 x00; (6)系統(tǒng)調(diào)試與仿真 1)keil c 調(diào)試; 2)proteus調(diào)試; 3)聯(lián)合調(diào)試; 4)仿真結(jié)果。 XTAL218XTAL119ALE30EA31PSEN29RST9P0.0/AD039P0.1/AD138P0.2/AD237P0.3/AD336P0.4/AD435P0.5/AD534P0.6/AD633P0.7/AD732P1.01P1.12P1.23P1.34P1.45P1.56P1.67P1.78P3.0/RXD10P3.1/TXD11P3.2/INT012P3.3/INT113P3.4/T014P3.7/RD17

59、P3.6/WR16P3.5/T115P2.7/A1528P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427U1AT89C51X1CRYSTALC130pFC230pFR1100C31uFR210kk1k2正轉(zhuǎn)正轉(zhuǎn)反轉(zhuǎn)反轉(zhuǎn)12U2:A74LS1434U2:B74LS141B11C162B22C153B33C144B44C135B55C126B66C117B77C10COM9U3ULN2003ALABELRL1G2R-1E-DC12B112VRL2G2R-1E-DC12B212V能夠利用單片機(jī)對(duì)電動(dòng)機(jī)進(jìn)行控制,能夠利用單片機(jī)對(duì)電動(dòng)機(jī)進(jìn)行控制, 就能夠設(shè)計(jì)單片機(jī)控制系統(tǒng)了,就能夠設(shè)計(jì)單片機(jī)控制系統(tǒng)了, 。單片機(jī)應(yīng)用系統(tǒng)的設(shè)計(jì),單片機(jī)應(yīng)用系統(tǒng)的設(shè)計(jì), 原來是如此的簡(jiǎn)單,原來是如此的簡(jiǎn)單, 設(shè)計(jì)能力提高了,自信心自然也就提高了!設(shè)計(jì)能力提高了,自信心自然也就提高了!5.4.1 RS-485特點(diǎn)5.4.2 RS-485接口標(biāo)準(zhǔn)5.4.3 RS-485在單片機(jī)多機(jī)通信中的應(yīng)用設(shè)計(jì)由于串行通信的簡(jiǎn)單易用,在工業(yè)領(lǐng)域大量使用串行通信作為數(shù)據(jù)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論