VHDL數(shù)字電路設(shè)計(jì)教程習(xí)題參考答案_第1頁(yè)
VHDL數(shù)字電路設(shè)計(jì)教程習(xí)題參考答案_第2頁(yè)
VHDL數(shù)字電路設(shè)計(jì)教程習(xí)題參考答案_第3頁(yè)
VHDL數(shù)字電路設(shè)計(jì)教程習(xí)題參考答案_第4頁(yè)
免費(fèi)預(yù)覽已結(jié)束,剩余1頁(yè)可下載查看

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿

2、芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇

3、荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇

4、莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋

5、莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆

6、蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇

7、葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇

8、薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅

9、膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆

10、膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆

11、芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄

12、芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅

13、芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃

14、莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃

15、蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄

16、蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂

17、蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅

18、蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃

19、膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁

20、膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂

21、膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂

22、芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀

23、節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁

24、莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁

25、莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿

26、蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀

27、蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁

28、蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿

29、蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿

30、膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕羄芃蚄罿羃蒞蒆裊肅蒈螞螁肂膇蒅蚇肁莀蝕蚃肀蒂薃羂聿膂螈袈肈芄薁螄肇莆螇蝕肇葿薀羈膆膈莂襖膅芁薈螀膄蒃莁螆膃膃蚆螞膂芅葿羈膁莇蚄袇膁蒀蕆螃芀

31、腿蚃蠆艿芁蒆羇羋莄蟻袃芇薆蒄衿芆芆蝿螅袃莈薂蟻袂蒀螈羀袁膀薀袆袀節(jié)螆螂罿蒞蕿蚈罿蕆莂羇羈膇薇羂羇荿蒀袈羆蒁蚅螄羅膁蒈肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀

32、蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁

33、蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃 123123第5章習(xí)題參考答案Problem 5.1library ieee;use ieee.std_logic_1164.all;package my_data_type isconstant m: integer :=8;type vector_array is array (natural range<>) of std_logic_vector(m-1 downto 0);end my_data_type;library ie

34、ee;use ieee.std_logic_1164.all;use work.my_data_type.all;entity n_mux isgeneric (n: integer :=8);port( datain: in vector_array(0 to n-1) ;sel: in integer range 0 to n-1;dataout: out std_logic_vector( m-1 downto 0); end;architecture bhv of n_mux isbegindataout<=datain(sel); end;Problem 5.2方法一:

35、利用簡(jiǎn)單賦值語(yǔ)句設(shè)計(jì)library ieee;use ieee.std_logic_1164.all;entity priority_encoder isport(x:in std_logic_vector(7 downto 1);y:out std_logic_vector(2 downto 0);end;architecture bhv of priority_encoder isbeginy(2)<=x(7) or x(6) or x(5) or x(4);y(1)<=x(7) or x(6) or ( not x(5) and not x(4) and (x

36、(3) or x(2);y(0)<=x(7) or (not x(6) and (x(5) or (not x(4) and (x(3) or (not x(2) and x(1);end;方法二:利用WHEN語(yǔ)句設(shè)計(jì)library ieee;use ieee.std_logic_1164.all;entity priority_encoder isport(x:in std_logic_vector(7 downto 1);y:out std_logic_vector(2 downto 0); end;architecture bhv of priority_encoder i

37、s beginy<="111" when x(7)=1 else"110" when x(6)=1 else"101" when x(5)=1 else"100" when x(4)=1 else"011" when x(3)=1 else"010" when x(2)=1 else"001" when x(1)=1 else&am

38、p;quot;000"end;Problem 5.4library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity adder8 isport(a,b:in std_logic_vector(7 downto 0); cin:in std_logic;sum:out std_logic_vector(7 downto 0); cout:out std_logic);end; architecture bhv of adder8 issignal a0,b0,cin0, s:std_

39、logic_vector(8 downto 0); begina0<=0&a; b0<=0&b; cin0<="00000000"&cin; s<=a0+b0+cin0;sum<=s(7 downto 0);cout<=s(8);end;Problem5.5library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logi

40、c_unsigned.all;use ieee.std_logic_signed.all;entity add_sub isport(a,b:in unsigned(7 downto 0);sel:in bit_vector(1 downto 0);sum:out std_logic_vector(8 downto 0); end;architecture bhv of add_sub issignal temp1,temp2:unsigned (8 downto 0); signal temp3,temp4:signed(8 downto 0); -signal an,as,sn,ss:st

41、d_logic_vector(8 downto 0);signal a0,b0:signed (7 downto 0);signal cin0:std_logic_vector(7 downto 0);begina0<=conv_signed(a,8);b0<=conv_signed(b,8);temp1<=conv_unsigned(a+b),9);temp2<=conv_unsigned(a-b),9);temp3<=conv_signed(a0+b0),9);temp4<=conv_signed(a0-b

42、0),9);sum<=conv_std_logic_vector(temp1,9)when sel="00" else conv_std_logic_vector(temp3,9)when sel="01" else conv_std_logic_vector(temp2,9)when sel="10" else conv_std_logic_vector(temp4,9);end;Problem 5.6library ieee;use ieee.std_logic_116

43、4.all;entity gray_encoder isgeneric(n: integer:=4) ;port(input:in std_logic_vector(n-1 downto 0);output:out std_logic_vector(n-1 downto 0); end;architecture bhv of gray_encoder isbeginoutput(n-1)<=input(n-1);output(n-2 downto 0)<=input(n-2 downto 0) xor input(n-1 downto 1); end; Proble

44、m 5.7(將原題修改后的作業(yè)題,要求能夠?qū)崿F(xiàn)連續(xù)移位,當(dāng)shift信號(hào)為0時(shí),保持不變,否則每次左移移位,最低位補(bǔ)0,直到全0為止。)library ieee;use ieee.std_logic_1164.all;entity barrel_shifter isport(inp:in std_logic_vector(7 downto 0);shift: in bit;sel:in integer range 0 to 7;outp:out std_logic_vector(7 downto 0);end;architecture bhv of barrel_shifter istype

45、matrix is array(7 downto 0) of std_logic_vector (7 downto 0); signal row: matrix; beginrow(0)<=inp;l1:for i in 1 to 7 generaterow(i)<=row(0) when shift=0 elserow(i-1)(6 downto 0)&0 ;end generate;outp<=row(sel);end;Problem 5.8library ieee;use ieee.std_logic_1164.all;use i

46、eee.std_logic_arith.all;entity comp isport(a,b:in integer range 0 to 255;sel:in std_logic;x1,x2,x3:out std_logic);end;architecture bhv of comp issignal a_signed, b_signed: signed(7 downto 0); signal temp: std_logic_vector (1 to 4);begina_signed<=conv_signed(a,8); b_signed<=conv_signed(

47、b,8);temp(1)<=1 when a>b else0;temp(2)<=1 when a=b else0;temp(3)<=1 when a_signed>b_signed else 0;temp(4)<=1 when a_signed=b_signed else 0;x1<=temp(1) when sel=0 elsetemp(3);x2<=temp(2) when sel=0 elsetemp(4);x3<=not(temp(1) or temp(2) w

48、hen sel=0 else not(temp(3) or temp(4);end; 膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀

49、莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅

50、膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿

51、肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃

52、艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇

53、肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂

54、莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿

55、膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃

56、肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇

57、艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈

58、肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆

59、莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂膃肆蒃裊羆莄蒂薄膁芀蒁蚇羄膆蒀蝿腿肂蕿袁羂莁薈薁螅芇薈蚃羀芃薇袆螃腿薆薅聿肅薅蚈袂莃薄螀肇艿薃袂袀膅螞薂肅肁螞蚄袈莀蟻螆肄莆蝕罿袆節(jié)蠆蚈膂膈芅螁羅肄芅袃膀莃芄薃羃艿莃蚅腿膅莂螇羈肁莁袀螄葿莀蠆羀蒞荿螂袂芁荿襖肈膇莈薄袁肅莇蚆肆莂蒆螈衿羋蒅袀肅膄蒄薀袇肀蒃螂

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論