2數(shù)字電路指導(dǎo)(計(jì)算機(jī))02_第1頁(yè)
2數(shù)字電路指導(dǎo)(計(jì)算機(jī))02_第2頁(yè)
2數(shù)字電路指導(dǎo)(計(jì)算機(jī))02_第3頁(yè)
2數(shù)字電路指導(dǎo)(計(jì)算機(jī))02_第4頁(yè)
2數(shù)字電路指導(dǎo)(計(jì)算機(jī))02_第5頁(yè)
已閱讀5頁(yè),還剩14頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、一數(shù)字電路通過(guò)脈沖數(shù)字電路的實(shí)驗(yàn)?zāi)茯?yàn)證和鞏固所學(xué)的數(shù)字電路理論知識(shí),使學(xué)牛初步具 備基本電路的分析和設(shè)計(jì)能力,口行擬定實(shí)驗(yàn)步驟、檢查和排除故障、分析實(shí)驗(yàn)結(jié)果的能力。 掌握常用儀器的使用方法并進(jìn)行基本實(shí)驗(yàn)操作技能的訓(xùn)練,為進(jìn)行后續(xù)課程的實(shí)驗(yàn)打下基 礎(chǔ)。學(xué)生在實(shí)驗(yàn)技能方而達(dá)到如下要求:1、熟練學(xué)握萬(wàn)用電表、函數(shù)信號(hào)發(fā)牛器、數(shù)字電路實(shí)驗(yàn)箱、示波器的正確使用方法。2、能識(shí)別和正確使用各種所需的集成塊、電阻、電位器等,熟悉集成塊的管腳功能結(jié)構(gòu)。3、口行設(shè)計(jì)實(shí)驗(yàn)圖、檢查和排除故障。4、能簡(jiǎn)明扼要地用實(shí)驗(yàn)測(cè)試所得的結(jié)果說(shuō)明實(shí)驗(yàn)所證明和解決的問(wèn)題。5、對(duì)以下的實(shí)驗(yàn)要求熟練掌握,并對(duì)實(shí)驗(yàn)結(jié)果進(jìn)行合理的分析和總結(jié)

2、。集成門(mén)電路的邏輯變換及應(yīng)川;msi組合功能件的應(yīng)丿山集成觸發(fā)器及應(yīng)川;msi計(jì)數(shù) 器及應(yīng)用實(shí)驗(yàn)操作的注意事項(xiàng):一. 數(shù)字邏輯實(shí)驗(yàn)箱每種集成塊的內(nèi)部結(jié)構(gòu)、功能、管腳不同,使用集成塊前要查好管腳圖,地和電源的接 線(xiàn)一定不能搞錯(cuò),一般地線(xiàn)用黑線(xiàn),電源用紅線(xiàn)。每一條線(xiàn)使用之詢(xún)請(qǐng)務(wù)必檢杏,看看是否 是好的。2. 數(shù)字電路測(cè)試及故障查找、排除設(shè)計(jì)好一個(gè)數(shù)字電路后,要對(duì)其進(jìn)行測(cè)試,以驗(yàn)證設(shè)計(jì)是否正確。測(cè)試過(guò)程中,發(fā)現(xiàn)問(wèn)題要 分析原因,找出故障所在,并解決它。(1)數(shù)字電路測(cè)試數(shù)字電路測(cè)試大體上分為靜態(tài)測(cè)試和動(dòng)態(tài)測(cè)試兩部分。靜態(tài)測(cè)試指的是.給定數(shù)字電路若t 組靜態(tài)輸入值,測(cè)試數(shù)字電路的輸出值是否正確。數(shù)字電

3、路設(shè)計(jì)好后,在實(shí)驗(yàn)臺(tái)上連接成一 個(gè)整的線(xiàn)路。把線(xiàn)路的輸入接電平開(kāi)關(guān),輸出線(xiàn)路的輸出接電平指示燈,按功能表或狀態(tài)表 要求,改變輸入狀態(tài),觀察輸入和輸出之間的關(guān)系是否符合設(shè)計(jì)耍求。在靜態(tài)測(cè)試基礎(chǔ)上,按設(shè)計(jì)要求在輸入端加動(dòng)態(tài)脈沖信號(hào),觀察輸出端波形是否符合設(shè)計(jì) 要求,這是動(dòng)態(tài)測(cè)試。(2)數(shù)字電路的故障查找和排除在數(shù)字電路實(shí)驗(yàn)中,出現(xiàn)問(wèn)題是難免的。重要的是分析問(wèn)題,找出出現(xiàn)問(wèn)題的原因。 當(dāng)實(shí)驗(yàn)中發(fā)現(xiàn)結(jié)果與預(yù)期不一致時(shí),應(yīng)仔細(xì)觀測(cè)現(xiàn)象;首先檢查儀器、儀表的使用是否上確。 在正確使用儀器、儀表的前捉下,按邏輯圖和接線(xiàn)圖逐級(jí)杏找向題出現(xiàn)所在。從問(wèn)題所在一 級(jí)一級(jí)向前測(cè)試。在故障處首先檢查連線(xiàn)是否正確,確認(rèn)接

4、線(xiàn)無(wú)誤后,檢查器件引腳是否全 部正確插進(jìn)插座中,有無(wú)引腳折段、彎曲、錯(cuò)插。如無(wú)上述問(wèn)題檢查器件的好壞,如果一 切正常需考慮設(shè)計(jì)問(wèn)題。-般地說(shuō),有四個(gè)方血的原因產(chǎn)牛問(wèn)題(故障):器件故障、接線(xiàn)錯(cuò)誤,設(shè)計(jì)錯(cuò)誤和測(cè)試 方法不止確.1、器件故障誥件故障是器件火效或器件接插問(wèn)題引起的故障,表現(xiàn)為器件工作不止常。器件失效肯 定會(huì)引起工作不止常,這需要更換一個(gè)好器件.器件接插問(wèn)題,如管腳折斷或者器件的某個(gè) 引腳沒(méi)插到插座中等,也會(huì)使器件工作不正常;對(duì)于器件接插錯(cuò)誤有時(shí)不易發(fā)現(xiàn),需仔細(xì)檢 查。判斷器件失效的方法是用集成電路測(cè)試儀測(cè)試器件。2、接線(xiàn)錯(cuò)誤接線(xiàn)錯(cuò)謀是最常見(jiàn)的錯(cuò)謀。常見(jiàn)的接線(xiàn)錯(cuò)誤包括忘記接器件的電源和

5、地;連線(xiàn)與插孔接觸不良;連線(xiàn)經(jīng)多次使用后,有可能外而犁料包皮完好;但內(nèi)部線(xiàn)斷;連線(xiàn)多接;漏接、錯(cuò) 接;連線(xiàn)過(guò)長(zhǎng),過(guò)亂造成干擾。接線(xiàn)錯(cuò)誤造成的現(xiàn)象多種多樣,例如器件的某個(gè)功能塊不工 作或工作不正常,器件不工作或發(fā)熱,電路中一部分工作狀態(tài)不穩(wěn)定等解決方法大致包括: 熟悉所用器件的功能及英引腳號(hào),知道器件每個(gè)引腳的功能;器件的電源和地一定要接対、 接好:檢查連線(xiàn)和插孔接觸是否良好:檢杏連線(xiàn)有無(wú)錯(cuò)接、多接、漏接;檢杏連線(xiàn)中有無(wú)斷 線(xiàn)。最重要的是接線(xiàn)前要畫(huà)出接線(xiàn)圖,按圖接線(xiàn),不要憑記憶隨想隨接;接線(xiàn)要規(guī)范、整齊, 盡量走直線(xiàn)、短線(xiàn),以免引起t擾。3、設(shè)計(jì)錯(cuò)誤設(shè)計(jì)錯(cuò)誤口然會(huì)造成與預(yù)想的結(jié)果不一致。原因是對(duì)

6、實(shí)驗(yàn)耍求沒(méi)有吃透,或者是對(duì)所用 器件的原理沒(méi)有掌握。,因此實(shí)驗(yàn)前一定耍理解實(shí)驗(yàn)耍求,掌握實(shí)驗(yàn)線(xiàn)路原理,初始設(shè)計(jì)完 成后,戍雇i鍛匿好設(shè)計(jì)述行優(yōu)化。最后畫(huà)好邏輯圖及接線(xiàn)圖。4、測(cè)試方法不正確如果不發(fā)生前面所述三種錯(cuò)誤,實(shí)驗(yàn)一般會(huì)成功。但有時(shí)測(cè)試方法不正確也會(huì)引起觀測(cè) 錯(cuò)謀。例如,一個(gè)穩(wěn)定的波形,如果川示波器觀測(cè),而示波器沒(méi)有同步,則造成波形不穩(wěn)的 假象。因此要學(xué)會(huì)正確使用所用儀器;儀表。在數(shù)字電路實(shí)驗(yàn)中,尤其要學(xué)會(huì)正確使用示波 器。二. 使用萬(wàn)用電表注意事項(xiàng):在進(jìn)行測(cè)量時(shí),量程轉(zhuǎn)換開(kāi)關(guān)應(yīng)旋至相應(yīng)的位置。當(dāng)被測(cè)電流或電壓數(shù)值無(wú)法估計(jì)時(shí), 應(yīng)先將量程轉(zhuǎn)換開(kāi)關(guān)應(yīng)旋至該量程最高的一擋進(jìn)行測(cè)量,根據(jù)表針偏

7、轉(zhuǎn)情況逐漸旋至合適的 量程進(jìn)行讀數(shù)。測(cè)電流和電壓吋表針在接近滿(mǎn)度值一段范圍內(nèi)誤差最小,測(cè)電阻吋表針在中 央位置吋誤差最小。電壓表使用時(shí)應(yīng)并聯(lián)在待測(cè)電路的兩端,而電流表使用時(shí)必須串聯(lián)在待測(cè)支路中。電 表的止表棒應(yīng)始終接高電位一端,負(fù)表棒應(yīng)接低電位一端,不能反接,以免損壞表頭。 測(cè)電阻時(shí)應(yīng)將被測(cè)電路的電源關(guān)斷,測(cè)電阻應(yīng)先將正、負(fù)表棒短接,校正零點(diǎn)。三. 使用示波器注意事項(xiàng):示波器的輝度不要過(guò)亮。調(diào)節(jié)示波器時(shí),觸發(fā)方式選擇自動(dòng),要注意掃描時(shí)間選擇開(kāi)關(guān),電壓選擇開(kāi)關(guān)開(kāi)關(guān),和觸發(fā) 電平控制端三個(gè)旋鈕的配合使用,以使顯示的波形穩(wěn)定。作定量測(cè)定時(shí),“t/div”和“v/div”的微調(diào)旋鈕應(yīng)旋至“校準(zhǔn)”位置。

8、四. 使用函數(shù)信號(hào)發(fā)生器注意事項(xiàng):1、函數(shù)信號(hào)發(fā)牛器顯示的是具體的頻率,注意調(diào)節(jié)的擋位。2、信號(hào)的輸出用ttl out扌出。實(shí)驗(yàn)三集成門(mén)電路的邏輯變換及應(yīng)用一、實(shí)驗(yàn)?zāi)康?. 熟練掌握標(biāo)準(zhǔn)與非門(mén)實(shí)現(xiàn)邏輯電路變換的技巧;2. 掌握門(mén)電路邏輯功能測(cè)試方法;3. 了解邏輯門(mén)對(duì)數(shù)字信號(hào)的控制作用。二、實(shí)驗(yàn)原理:1川以實(shí)現(xiàn)基本邏輯運(yùn)算和復(fù)合邏輯運(yùn)算的單元電路統(tǒng)稱(chēng)為門(mén)電路。常川的棊木門(mén)電路在邏輯功能上有與門(mén)、非門(mén)、與非門(mén)、或非門(mén)、與或非門(mén)和異或門(mén)等兒種。它們的邏輯農(nóng) 達(dá)式及邏輯符號(hào)如表3所示。表3邏輯門(mén)表達(dá)式及邏輯符號(hào)邏輯門(mén)命名及邏輯表達(dá)式邏輯符號(hào)與非門(mén)f= ab&o與門(mén)f=ab43或門(mén)f=a+b或

9、非門(mén)f=a + bi/異或門(mén)f=abqo非門(mén)f= a1o摩根定理為:(a + b + c + )= 4 b c(a bc摩根定理在簡(jiǎn)化邏輯函數(shù)或進(jìn)行邏輯變換時(shí),是一個(gè)十分有用的定理。應(yīng)用摩根定理可以實(shí) 現(xiàn)只用與非門(mén)或只用或非門(mén)就能完成與、或、非、異或等邏輯運(yùn)算。市于在實(shí)際工作中大量 使川與非門(mén),因此對(duì)于一個(gè)表達(dá)式,應(yīng)川摩根定理,川兩次求反的方法,就能較方便地實(shí)現(xiàn) 兩級(jí)與非門(mén)網(wǎng)絡(luò)。例如:用與非門(mén)去實(shí)現(xiàn)f=ab+cd的邏輯圖,f=ab-cd = ab cdf可根據(jù)此表達(dá)式就很容易畫(huà)出用與非門(mén)表示的邏輯圖。如圖3.2所示。圖3.22.邏輯門(mén)對(duì)數(shù)字信號(hào)有控制作用??刂频脑砗芎?jiǎn)單,就是利用邏輯門(mén)的邏輯

10、功能在門(mén)的一端加上控制信號(hào)(“1”電平或“o”電子),rh控制信號(hào)決定門(mén)電路的打開(kāi)或關(guān)閉。當(dāng) 門(mén)電路處于打開(kāi)狀態(tài)時(shí),數(shù)字信號(hào)被傳輸,門(mén)電路處于關(guān)閉狀態(tài)時(shí),則數(shù)字信號(hào)無(wú)法通過(guò)。 至于控制信號(hào)是1還是0則由門(mén)電路的邏輯功能所決定。表3.3列出各種門(mén)電路控制數(shù)字信 號(hào)的方法和功能。表3.3門(mén)電路邏輯功能及對(duì)數(shù)字信號(hào)控制名稱(chēng)邏輯功能邏輯符號(hào)控制倍號(hào)、輸入信號(hào)、輸岀信號(hào)關(guān)系二輸入瑞與門(mén)有0必0 全1必1a r &f控制信號(hào)為1 輸人輸岀同相;控制信號(hào)為0 信號(hào)不傳輸,輸出為0二輸入端與非門(mén)有0必1 全1必0a b &0f控制信號(hào)為1,輸人輸岀反相;控制倍號(hào)為0, 信號(hào)不傳輸,輸出為1二輸

11、人瑞或門(mén)有1必1 全0為0ab> 1f控制值號(hào)為0,輸入輸出同相;控劇信號(hào)為1. 倍號(hào)不傳輸,輸出為1二輸入端或非門(mén)有1必0 全0必1ab>1>f控制信號(hào)為0,輸入輸出反相;控制信號(hào)為1, 信號(hào)不傳輸、輸出為0異或門(mén)相異為1 相同為0ab1f控制信號(hào)為1,輸入輸出反相;控制信號(hào)為0, 輸人輸出同相單個(gè)邏輯門(mén)對(duì)數(shù)字信號(hào)只能作簡(jiǎn)單的控制,如果功能較為復(fù)雜,則往往要組合邏輯電路來(lái)完 成。門(mén)控概念雖然簡(jiǎn)單,但卻是分析組合邏輯電路的一個(gè)很有用的方法。三、實(shí)驗(yàn)設(shè)備及器件 數(shù)字邏輯實(shí)驗(yàn)箱萬(wàn)用表74ls0274ls20 74ls10 等元器件 74ls00卩u、實(shí)驗(yàn)內(nèi)容:用ttl與非門(mén)和或非

12、門(mén)分別組成下列門(mén)電路,并測(cè)試它們的邏輯功能。與非門(mén) f= ab或非門(mén) f=a + b用ttl與非門(mén)實(shí)現(xiàn) 異或門(mén)f=abf=ac+bc+ab用與非門(mén)設(shè)計(jì)一個(gè)四人無(wú)棄權(quán)表決器,需要有三分之二以上贊成才獲通過(guò)。檢測(cè)所設(shè)計(jì) 電路的邏輯功能。以上實(shí)驗(yàn)要求記錄實(shí)際檢測(cè)結(jié)果并進(jìn)行分析,設(shè)計(jì)性任務(wù)應(yīng)有設(shè)計(jì)過(guò)程和設(shè)計(jì)邏輯圖。實(shí)驗(yàn)五msi組合功能件的應(yīng)用一、實(shí)驗(yàn)h的:1. 掌握譯碼器的工作原理及使用方法。2. 掌握數(shù)據(jù)選擇器的工作原理及使用方法。3. 掌握全加器的工作原理及使用方法。二、實(shí)驗(yàn)原理1、譯碼器是數(shù)字電路中用得很多的一種多輸入多輸出的組合邏輯電路。它的作用是把 規(guī)定的代碼進(jìn)行“翻譯”,變成相應(yīng)的狀態(tài),使

13、輸出通道中相應(yīng)的一路有信號(hào)輸出。完成一 種譯碼功能的電路稱(chēng)為譯碼器。它不僅用于代碼轉(zhuǎn)換、中斷的數(shù)字顯示,述用于數(shù)據(jù)分配、 存儲(chǔ)器尋址、組合邏輯信號(hào)等場(chǎng)合。當(dāng)前廠(chǎng)家生產(chǎn)的二進(jìn)制譯碼器大多數(shù)具有多路分配的功能:如24線(xiàn)譯碼器、74ls139, 38線(xiàn)譯碼器74ls138, 410線(xiàn)譯碼器74ls42等。由于譯碼器種類(lèi)很多,所以在設(shè)計(jì)的 邏輯電路里,應(yīng)選川適當(dāng)器件去實(shí)現(xiàn),這才是最佳的選輯。卞面以:74ls138譯碼器為例加 以說(shuō)明。圖5.1是74ls138譯碼器的邏輯電路圖和管腳圖,其功能表見(jiàn)表5.2所列。圖5.1表5.2輸入輸出sis 2+ s 3a2 a| aqyoy.y2y3r4y5y6y70

14、xxxx11111111x1xxx1111111110000011111111000110111111100101101111110011111011111010011110111101011111101110110111111011011111111110yi?5気111 11116)1514131274lsi38ii1091234567811川1ai1 1sx s215i1yi1gad由邏輯電路圖及功能表可知,其中a。a2是譯碼器的輸入端,s|s3為譯碼器數(shù)據(jù)選擇 端。當(dāng)sr, s2+s3=o時(shí),則根據(jù)譯碼器選擇輸入條件在相應(yīng)的輸出端有信號(hào)輸出“0”,即 低電平有效。例如:當(dāng)a2、a|、a

15、o為000時(shí),則y(f0,其它輸出端均為“1”(無(wú)信號(hào)輸出)。 作為分配器工作吋,數(shù)據(jù)輸入可由si端輸入,也可以由s2+s3端輸入。當(dāng)數(shù)據(jù)由j輸入時(shí),s2+s3=(),則si輸入的數(shù)據(jù)由譯碼器輸入選擇條件在相應(yīng)的輸出 端傳送出去。例如:當(dāng)a?、a|、ao為101時(shí),則傳送出去的是反碼。同樣,數(shù)據(jù)信號(hào)由s2+s3 輸入時(shí),s尸1,則傳送出去的是原碼。山此口j見(jiàn),具有分配功能的譯碼器作分配工作時(shí),當(dāng)輸入數(shù)據(jù)信號(hào)確定后,所有的輸出 端屮只有一個(gè)由譯碼選擇所確定的輸岀端有輸出?,F(xiàn)在用74ls138譯碼器實(shí)現(xiàn)邏輯函數(shù)舉一實(shí)例:f= abc + abc + abc + abcabc abc abc abc

16、根據(jù)此表達(dá)式就可以畫(huà)出邏輯電路圖,如圖5.3所示。2. 數(shù)據(jù)選擇器又叫多路選擇器或多路開(kāi)關(guān),它是多輸入、單輸出的組合邏輯電路。當(dāng)在選擇 器的控制端加上地址碼,就能從多個(gè)數(shù)據(jù)中選擇一個(gè)數(shù)據(jù),傳送到一個(gè)單獨(dú)的信息通道 上,。它除了進(jìn)行數(shù)據(jù)選擇外,還可以用來(lái)產(chǎn)生復(fù)雜的隊(duì)i數(shù),實(shí)現(xiàn)數(shù)據(jù)傳輸與并-串轉(zhuǎn)換等 多種功能。目前,數(shù)據(jù)選擇器規(guī)格有十六選一74ls150、丿噬一 74ls151、雙四選一 74ls153和四 二選一 74ls157 等。圖5.3下而簡(jiǎn)介ttl中規(guī)模數(shù)據(jù)選擇器74ls153的使用特點(diǎn)。圖5. 4為74lsi53的邏輯圖及管腳圖,表5.5為其功能表。sidu 久aiaudy6dny2k

17、v si 4(>63 62 6) dy2圖5.4表5.574ls1s3功能表aia()syxx10000do010di100d2110d3從圖5.4中看出74ls153包含兩個(gè)完全相同的四選一電路,只是地址選擇是共用一組 信號(hào)。這樣一片組件就可以實(shí)現(xiàn)四路二位二進(jìn)制信息傳送。圖中do-d3為四路數(shù)據(jù)輸入,y為數(shù)據(jù)輸出端,a】、a。為地址選擇控制端,£為輸出 選通控制端,其作川是控制選擇器處于“工作”或“禁止”狀態(tài)。利川它還可以進(jìn)一步擴(kuò)人電路的功能。當(dāng)選通端5=0,選擇器處于工作狀態(tài),其輸出的內(nèi)容就決定于地址碼選擇下的那一路數(shù)據(jù)輸入狀態(tài)。當(dāng)5=1,選擇器處于禁止?fàn)顟B(tài),無(wú)論地址碼怎么

18、變換,y總是等 于0。例用四選一數(shù)據(jù)選擇器實(shí)現(xiàn)邏輯函數(shù)f= abc + abc + ab c+abc(a)用數(shù)據(jù)選擇器的地址碼a】、ao分別表示函數(shù)f式中的a、b。(b)寫(xiě)il! f的最小項(xiàng)z和表達(dá)式f= abc + abc + ab c+abc=m()c +mc+m2c+m3cf每一位都應(yīng)該考慮來(lái)口低位的進(jìn)位。將(c)寫(xiě)出數(shù)據(jù)選擇器表達(dá)式3y=,midia<=()(d)令y=f,對(duì)兩式進(jìn)行比較可得:bdo= c,d二c, d2=c, dg=c(e)畫(huà)出邏輯圖,見(jiàn)圖5. 6所示。除上而提到的數(shù)據(jù)選擇器應(yīng)用以外,它還 可以用于多通道的數(shù)據(jù)傳送,進(jìn)行數(shù)據(jù)比較, 實(shí)現(xiàn)并行串行數(shù)據(jù)的轉(zhuǎn)換以及擴(kuò)展

19、其它電c路的功能等等。全加器兩個(gè)多位二進(jìn)制數(shù)相加時(shí),除了最低位以外,兩個(gè)對(duì)應(yīng)位的加數(shù)和來(lái)白低位的進(jìn)位3個(gè)數(shù)相加,這種運(yùn)算稱(chēng)為全加,所用的電路稱(chēng)為全加 器。即每一位全加器有3個(gè)輸入端:ai(被加數(shù))、bi(加數(shù))、cm,(低位向本位的進(jìn)位),2個(gè) 輸出端:si(和)和g(向高位的進(jìn)位)。根據(jù)二進(jìn)制加法運(yùn)算規(guī)則可列出全加器真值表,如表5.所示。表全加器真值表輸入輸出cmaibisici0000000110010100110110010101011100111111實(shí)現(xiàn)全加器邏輯功能的方案是多種多樣的,可用異或門(mén)74ls86和與非門(mén)實(shí)現(xiàn),也可用 74ls183、74ls283 實(shí)現(xiàn)。三、實(shí)驗(yàn)設(shè)備及器

20、件1. 數(shù)字邏輯實(shí)驗(yàn)箱一臺(tái)2. 萬(wàn)用表一臺(tái)3. 元器件74ls138、74ls20、74ls153、74ls04、74ls86、74ls00四、實(shí)驗(yàn)內(nèi)容:1、使川一個(gè)3線(xiàn)一8線(xiàn)譯碼器74ls138和與非門(mén)74ls20設(shè)計(jì)一個(gè)1位二進(jìn)制全減器,畫(huà)出設(shè)計(jì)邏輯圖,檢測(cè)并記錄電路功能。2、使川一個(gè)4選1數(shù)據(jù)選擇器74ls153和反相器74ls04設(shè)計(jì)一個(gè)1位二進(jìn)制全減器,畫(huà) 出設(shè)計(jì)邏輯圖,檢測(cè)并記錄電路功能。3、用異或門(mén)74ls86和與非門(mén)74ls00設(shè)計(jì)一個(gè)一位二進(jìn)制全加器,畫(huà)出設(shè)計(jì)邏輯圖,檢測(cè) 并記錄電路功能。實(shí)驗(yàn)六集成觸發(fā)器及應(yīng)用一、實(shí)驗(yàn)?zāi)康?、掌握基本rs、jk、d觸發(fā)器的邏輯功能測(cè)試2、掌握

21、時(shí)序電路的設(shè)計(jì)和檢測(cè)二、實(shí)驗(yàn)原理觸發(fā)器是構(gòu)成時(shí)序電路的基本邏輯單元。它具有兩個(gè)穩(wěn)定狀態(tài),即“0”狀態(tài)和“1”狀 態(tài)。只有在觸發(fā)信號(hào)作川下,才能從原來(lái)的穩(wěn)定狀態(tài)轉(zhuǎn)變?yōu)樾碌姆€(wěn)定狀態(tài)。因此觸發(fā)器是一 種具有記憶功能的電路,可作為二進(jìn)制存貯單元使丿u。觸發(fā)器種類(lèi)很多,按其功能可分為基本rs觸發(fā)器、jk觸發(fā)器、d觸發(fā)器和t觸發(fā)器 等;按電路的觸發(fā)方式又可分為電位觸發(fā)器型、主從型、維阻性、邊沿觸發(fā)器型等?;緍s觸發(fā)器是各種觸發(fā)器屮最基木組成部分,它能存貯一位二進(jìn)制信息,但有一定 約束條件。例如用與非門(mén)組成的rs觸發(fā)器的r、s不能同時(shí)為“0”,煩ij當(dāng)r、s端的“0” 電平同時(shí)撤銷(xiāo)后,觸發(fā)器的狀態(tài)不定。因

22、此只r=s=0的情況不允許出現(xiàn)?;緍s觸發(fā)器的用途z是作無(wú)抖動(dòng)開(kāi)關(guān)。例如在圖6所示的電路中,當(dāng)開(kāi)關(guān)s 接通吋,由于機(jī)械開(kāi)關(guān)在扳動(dòng)的過(guò)程中,存在接觸抖動(dòng),使得f點(diǎn)電壓從+5v直接地躍降 到0v的一瞬間(兒十毫秒),會(huì)發(fā)生多次電壓抖動(dòng),相當(dāng)產(chǎn)生連續(xù)多個(gè)脈沖信號(hào)。如果利用 這種電路產(chǎn)生的信號(hào)去驅(qū)動(dòng)數(shù)字電路,則可能導(dǎo)致電路發(fā)生誤動(dòng)作。這在某些場(chǎng)合是絕對(duì)不 允許的,為了消除機(jī)械開(kāi)關(guān)的抖動(dòng),可在開(kāi)關(guān)s與輸出端a之間接人一個(gè)rs觸發(fā)器(見(jiàn)圖 6. 2所示),就能使f端產(chǎn)生很清晰的階躍信號(hào)。那么這種帶rs觸發(fā)器的打關(guān)通常稱(chēng)為無(wú) 抖動(dòng)開(kāi)關(guān)(或稱(chēng)邏輯開(kāi)關(guān))。而把有抖動(dòng)的開(kāi)關(guān)稱(chēng)為數(shù)據(jù)開(kāi)關(guān)。+5v(a )電路(b)

23、清楚跳躍(c)多次抖動(dòng)圖6. 1開(kāi)關(guān)接觸抖動(dòng)圖6. 2無(wú)抖動(dòng)開(kāi)關(guān)電路'itl集成觸發(fā)器主耍有三種類(lèi)型:鎖存器、d和jk觸發(fā)器。鎖存器是電位型觸發(fā)器, 由于它存在“空翻”,不能用于計(jì)數(shù)器和移位寄存器,只能用于信息寄存器。維阻d觸發(fā)器, 克服了 “空翻”現(xiàn)象,所以稱(chēng)作維阻型觸發(fā)器。主從型觸發(fā)器,雖然克服了 “空翻”,但存 在一次變化問(wèn)題,即在cp=1期間,j、k端若有干擾信號(hào),觸發(fā)器可能產(chǎn)生謀動(dòng)作,這就 降低了它的抗干擾能力,因而使用范圍就受到一定的限制。邊沿觸發(fā)型jk觸發(fā)器抗干擾性能較好,故應(yīng)用廣泛。圖6. 3是集成jk、d觸發(fā)器的邏輯符號(hào)。圖中rd輸入端為復(fù)位端,s。為置位輸入端, 端

24、旁的小圓圈表示低電平驅(qū)動(dòng)。當(dāng)rd和sd端加“0”信號(hào)驅(qū)動(dòng)時(shí),觸發(fā)器的狀態(tài)不受cpd±jqq(b)圖6.3及控制輸入端所處狀態(tài)的影響。cp為時(shí)鐘輸入端,在sd=rd=1時(shí),只令在cp脈沖的作川 時(shí),才能使觸發(fā)器狀態(tài)更新。cp端有小圓圈,表示該觸發(fā)器在cp產(chǎn)脈沖的負(fù)沿時(shí)翻轉(zhuǎn)。 cp端沒(méi)有小圓圈,表示該觸發(fā)器在cp脈沖的正沿時(shí)翻轉(zhuǎn)。在部分國(guó)外的觸發(fā)器符號(hào)中, cp端的小惻圈上加有尖角標(biāo)志,表示該觸發(fā)器是負(fù)沿觸發(fā)的邊沿觸發(fā)器,如圖6. 3(c)所示。 j、k、d為觸發(fā)器的控制信號(hào)輸入端,它們是觸發(fā)器更新?tīng)顟B(tài)的數(shù)據(jù)。若j、k、d有兩個(gè)或兩個(gè)以上的輸入端時(shí),就將這些端子畫(huà)成與門(mén)形式,如圖6. 3

25、(a)、(b)屮所示。q和q為兩個(gè)互補(bǔ)輸出端。通常把q=l, 0=0的狀態(tài),定為觸發(fā)器的1狀態(tài),而把q=0, 0 = 1的狀 態(tài),定為觸發(fā)器的0狀態(tài)。為了止確使用觸發(fā)器,首先要掌握觸發(fā)器的邏輯功能。rs觸發(fā)器的特性方程:qn+,=s+qn (rs=0為約束條件)d觸發(fā)器的特性方程:qn+,=d瓜觸發(fā)器的特性方程:邏輯功能掌握了,還要注意觸發(fā)器對(duì)cp脈沖與控制輸入信號(hào)之間互相配合的要求。一 般來(lái)說(shuō),邊沿觸發(fā)器要求控制輸入端信號(hào)超前cp脈沖的觸發(fā)邊沿一段時(shí)間建立,并在觸發(fā) 邊沿到達(dá)后繼續(xù)保持一段時(shí)間。各種邊沿觸發(fā)器對(duì)建立和保持時(shí)間上有所差別。主從觸發(fā)器 則要求控制輸入信號(hào)在cp=1期間不應(yīng)發(fā)牛變化

26、,否則將可能導(dǎo)致觸發(fā)器錯(cuò)誤輸出。因此, 在設(shè)計(jì)電路時(shí),應(yīng)加以注意。觸發(fā)器的應(yīng)用范圍很廣,它可以構(gòu)成各種各樣的計(jì)數(shù)器、移位寄存器等。至丁計(jì)數(shù)器的 設(shè)計(jì)方法在數(shù)字電路理論書(shū)里都有章可查,這里就不再重復(fù)。三、實(shí)驗(yàn)設(shè)備及器件數(shù)字邏輯實(shí)驗(yàn)箱一臺(tái)示波器一臺(tái)萬(wàn)用表一臺(tái)元器件 74ls7474ls11274ls00 等四、實(shí)驗(yàn)內(nèi)容1、d觸發(fā)器(74ls74)的功能測(cè)試(1) 按表6. 4要求改變sd和rd,觀察q和q的狀態(tài)°表6.4sd和rd功能測(cè)試cpdsdrdqqxx11xx1itoxx1ot1xx1t01xx0t11按表6. 5的要求,測(cè)試并記錄觸發(fā)器的邏輯功能。(表中0t1為上升沿;1t0為

27、下降 沿。cp脈沖應(yīng)由單脈沖源來(lái)供給)。農(nóng)6.5 d觸發(fā)器邏輯功能測(cè)試dcpqn+lqn=oqn=l0o->1ito1ot1ito(3)使觸發(fā)器處于計(jì)數(shù)狀態(tài)(0md相連接),cp端輸入脈沖f=10khz的方波信號(hào),記錄 cp、q和0的工作波形。2、jk觸發(fā)器(74ls112)的功能測(cè)試(1)按表6. 6要求測(cè)試并記錄觸發(fā)器的邏輯功能。表6.6jk觸發(fā)器邏輯功能測(cè)試jkcpq n+1qn=oqn= 100ot11 to01ot1ito10ot1ito11ot1ito使觸發(fā)器處j:計(jì)數(shù)狀態(tài)(j=k=i), cp端輸入脈沖f=iokhz的方波信號(hào),。記錄cp、q和0工作波形。(如果示波器看不出

28、,可用發(fā)光二極管燈l來(lái)看。)3、使用jk觸發(fā)器設(shè)計(jì)一個(gè)二進(jìn)碼三進(jìn)制的同步減法計(jì)數(shù)器.要求寫(xiě)出設(shè)計(jì)的過(guò)程,i田i出 邏輯圖;測(cè)試并記錄電路的狀態(tài)轉(zhuǎn)換真值衣;觀察并記錄時(shí)鐘脈沖和各級(jí)觸發(fā)器輸出的工作 波形。(由于輸出波形的不對(duì)稱(chēng)性,應(yīng)特別注意測(cè)試方法,正確觀察它們的吋間關(guān)系。如果 示波器看不出,可用發(fā)光二極管燈l來(lái)看。)。實(shí)驗(yàn)七msi計(jì)數(shù)器及應(yīng)用一、實(shí)驗(yàn)?zāi)康?. 掌握msi計(jì)數(shù)器的邏輯功能及其特點(diǎn);2. 熟悉msi時(shí)序功能件的應(yīng)用;3. 熟悉顯示譯碼器和數(shù)碼管的使用方法。二、實(shí)驗(yàn)原理計(jì)數(shù)器是數(shù)字系統(tǒng)中必不可少的組成部分,它不僅用來(lái)計(jì)輸入脈沖的個(gè)數(shù),述大量用于 分頻、程序控制及邏輯控制等。msi計(jì)數(shù)

29、器種類(lèi)繁多,其分類(lèi)方式大致有以下三種: 第一種:按計(jì)數(shù)器的進(jìn)制分。通常分為二進(jìn)制、i進(jìn)制和n進(jìn)制計(jì)數(shù)器。笫二種:按計(jì)數(shù)脈沖輸入方式不同,可分為同步計(jì)數(shù)器和異步計(jì)數(shù)器兩大類(lèi)。同步計(jì)數(shù)器是 指內(nèi)部的各個(gè)觸發(fā)器在同一時(shí)鐘脈沖作用下同時(shí)翻轉(zhuǎn),并產(chǎn)生進(jìn)位信號(hào)。其計(jì)數(shù)速度快、工 作頻率高,譯碼時(shí)不會(huì)產(chǎn)牛尖峰信號(hào)。而異步計(jì)數(shù)器中的計(jì)數(shù)脈沖是逐級(jí)傳送的,高位觸發(fā) 器的翻轉(zhuǎn)必須等低一位觸發(fā)器翻轉(zhuǎn)后才發(fā)生。其計(jì)數(shù)速度慢,在譯碼吋輸出端會(huì)出現(xiàn)不應(yīng)有 的尖峰信號(hào),但其內(nèi)部結(jié)構(gòu)簡(jiǎn)單,連線(xiàn)少,成木低,因此,在一般低速場(chǎng)合中應(yīng)用。第三種:按計(jì)數(shù)加減分類(lèi)。則有遞増計(jì)數(shù)器,遞減計(jì)數(shù)器和町逆計(jì)數(shù)器。其中可逆計(jì)數(shù)器又 有加減控制式

30、和雙時(shí)鐘輸入式兩種。下而我們僅以74ls90. 74ls161為例介紹一下msi計(jì)數(shù)器一般使用方法,對(duì)于表中的 其他器件更詳細(xì)功能介紹請(qǐng)參閱有關(guān)手冊(cè)。1. 異步計(jì)數(shù)器74ls90 i作原理介紹:74lls90是一種典型的集成異步計(jì)數(shù)器,它可以實(shí)現(xiàn)二一五一十計(jì)數(shù)的功能,它具有以下性 能特點(diǎn):采用8421碼,雙時(shí)鐘輸入十進(jìn)位計(jì)數(shù);(2)可直接置“0”,置“9”;(3)qa輸出可以二進(jìn)制計(jì)數(shù),qa與外部b點(diǎn)連接可得到i進(jìn)位計(jì)數(shù)。圖7. 1為,為74ls90的功能表圖7.2為74ls90的邏輯圖及管腳圖表7. 1為74ls90的功能表。r端輸入輸出k)(i)k)只9(1)r9qnqcqbqa110x0

31、00011x00000xx111001x0x0計(jì)數(shù)0x0x0xx0x00xcpi1nc1qi qd gnd qtiilliqe141312 1110 98)74ls9012345671cph1/?<xl»illir tk2i ncv rm1rz圖7.2為74ls90的邏輯圖及管腳圖由圖72可見(jiàn),74ls90由四個(gè)觸發(fā)器及附加門(mén)組成,它有兩個(gè)時(shí)鐘脈沖輸入端c<、cph o兩個(gè)清零輸入端ro、ro(2),兩f置“9”輸端r9()、r%2),四個(gè)輸出端qdqcqbqa,兩個(gè)nc端(空腳)。從功能表我們便清楚地知道它的功能。利用74ls90的ro(】)、ro(2)和 心】八、rg

32、(2)可以實(shí)現(xiàn)復(fù)位和置位功能。當(dāng)r%】),r9兩個(gè)輸 入端全為“1”時(shí),無(wú)論ro(i)、ro為何狀態(tài),計(jì)數(shù)器置“9”;當(dāng)ro、ro都為“1”時(shí), 皿、r9(2)中有一個(gè)為“0”時(shí),計(jì)數(shù)器清零。當(dāng)ro、r9,輸入端都為低電平時(shí),74ls90 方可計(jì)數(shù)。計(jì)數(shù)功能如下: 時(shí)鐘脈沖從a端輸入,從qa端輸出,則是二進(jìn)制計(jì)數(shù)器。 時(shí)鐘脈沖從b端輸入,從qd、qc、qb。端輸出,則是異步五進(jìn)制加法計(jì)數(shù)器,其計(jì)數(shù)狀 態(tài)見(jiàn)表7. 3。 當(dāng)qa和cpb端相連,時(shí)鐘脈沖從a端輸入,從qd、qc,qb> qa端輸出,則是8421碼 十跡制計(jì)數(shù)器。其計(jì)數(shù)狀態(tài)見(jiàn)表7. 4。 當(dāng)a端和qd端相連,時(shí)鐘脈沖從b端輸入,

33、從qd、qc、qb、qa端輸出,則是5421碼 十進(jìn)制計(jì)數(shù)器,其計(jì)數(shù)狀態(tài)見(jiàn)表7. 4。 利用置“0”端和置“9”端,nj以實(shí)現(xiàn)n進(jìn)制計(jì)數(shù)器,當(dāng)n>10時(shí),nj用計(jì)數(shù)器級(jí)聯(lián)反饋 方式去實(shí)現(xiàn)。2. 四位二進(jìn)制同步計(jì)數(shù)器74ls161:該計(jì)數(shù)器能同步并行預(yù)置數(shù)據(jù)、異步清零,具有清零置數(shù),計(jì)數(shù)和保持四種功能,且具 有進(jìn)位信號(hào)輸出端、可串接計(jì)數(shù)使用。圖7. 5為其邏輯電路圖和管腳圖,表7. 6為其功能 表。表7.3計(jì)數(shù)輸出qdqqb00001001201030114100表7.4計(jì)數(shù)8421 碼5421 碼qdqcqbqaqdqcqbqa000000000100010001200100010300110011401000100501011000601101001701111010810001011910011100從邏輯圖和功能表可知,該計(jì)數(shù)器有清零信號(hào)rd,使能信號(hào)ep、et,置數(shù)信號(hào)ld, 時(shí)鐘cp和四個(gè)數(shù)據(jù)輸入端do、d

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論