模擬交通燈設(shè)計_第1頁
模擬交通燈設(shè)計_第2頁
模擬交通燈設(shè)計_第3頁
模擬交通燈設(shè)計_第4頁
模擬交通燈設(shè)計_第5頁
已閱讀5頁,還剩15頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、.模擬交通燈設(shè)計目 錄 摘要1 緒論21.1設(shè)計思路21.2基本原理22 交通燈自動控制電路硬件設(shè)計32.1單片機(jī)的結(jié)構(gòu)32.2主要元器件選擇32.3交通口模型32.4總電氣圖32.5顯示原理43 交通燈自動控制電路軟件設(shè)計53.1單片機(jī)中斷系統(tǒng)基本結(jié)構(gòu)53.2交通燈自動控制的設(shè)計程序流程圖73.3每秒鐘的設(shè)定73.4計算器初值計74 系統(tǒng)仿真8附錄一 程序流程圖10附錄二 源程序代碼12總結(jié)與體會191 緒論作為一種交通規(guī)則的指示,交通燈它起著及其重要的作用。從最初的單車道到現(xiàn)在的四車道八車道等,交通指示的自動控制也越來越完善。它不再僅僅擁有交通指示的作用,還有其它特殊情況的處理,比如對闖紅

2、燈的肇事者進(jìn)行的監(jiān)督,緊急救護(hù)車的通過時保持道路暢通,等等都需要非常的處理,這也是對交通燈功能的新要求。而且,也從最初的只有紅,黃,綠三種燈的指示到現(xiàn)在的倒計時電子顯示,讓人們從單一的信號判別到時間的準(zhǔn)確明了有了進(jìn)一步認(rèn)知。這都表明交通燈的研究還具有它實際的意義。1.1 設(shè)計思路交通燈的自動控制系統(tǒng)的主要功能包括:普通交通燈的顯示、LED倒計時顯示。振蕩器和時鐘電路:這部分電路主要由89C51單片機(jī)和一些電容、晶振組成。設(shè)計控制部分:主要由89C51單片機(jī)的外部中斷電路組成1。設(shè)計顯示部分:LED數(shù)碼顯示部分。LED數(shù)碼顯示部分由七段數(shù)碼顯示管組成。1.2 基本原理主體電路:交通燈自動控制模塊

3、。這部分電路主要由89C51單片機(jī)的I/O端口、定時計數(shù)器、外部中斷擴(kuò)展等組成。本設(shè)計先是通過單片機(jī)如AT89C51并接數(shù)碼管及發(fā)光二極管來模擬交通燈的變化規(guī)律,8個數(shù)碼管用來顯示秒值,東西南北各兩個,12個發(fā)光二極管,東西南北各三個,分為紅綠黃三種顏色。設(shè)計思路,首先東西方向綠燈亮,南北方向紅燈亮,同時開始計時20s,在7段數(shù)碼管上顯示時間。當(dāng)20s倒計時結(jié)束后,開始3s倒計時,并且東西方向綠燈開始閃爍,南北方向紅燈亮。當(dāng)3s倒計時結(jié)束后再開始2s倒計時,并且東西方向黃燈亮,南北方向還是紅燈亮。當(dāng)2s倒計時結(jié)束后開始20s的倒計時,此時是南北方向綠燈亮,東西方向紅燈亮。接下來就是南北方向綠燈

4、閃爍3s,再南北方向黃燈閃爍2s,接著又開始循環(huán)。 2交通燈自動控制電路硬件設(shè)計2.1單片機(jī)的結(jié)構(gòu) 單片微機(jī)(Single-Chip Microcomputer)簡稱為單片機(jī)。它在一塊芯片上集中成了中央處理單元CPU、隨機(jī)存儲器RAM、只讀存儲器ROM、定時/計數(shù)和多功能輸入/輸出I/O口,如并行口I/O、串行口I/O和轉(zhuǎn)換A/D等。就其組成而言,一塊單片機(jī)就是一臺計算機(jī)2。其典型結(jié)構(gòu)如圖所示。由于它具有體積小、功能強(qiáng)和價格便宜等優(yōu)點,因而被廣泛地應(yīng)用于產(chǎn)品智能化和工業(yè)控制自動化上。2.2 主要元器件選擇1開關(guān)管的選擇:BUTTON按鈕2LED發(fā)光二極管 LEDRED LEDYELLOW LD

5、EGREEN發(fā)光二極管的主要技術(shù)參數(shù)有額定電壓和額定工作電流。限流電阻i是限制線路電流的,阻值的大小由I=U/R計算得到。例如發(fā)光二極管的額定電壓為2V,額定工作電流為20mA,計算Ri(5-2)/0.02=1503。3二位一體數(shù)碼管 7SEG-MPX2-CAT-RED:共陽數(shù)碼管(紅色)4AT89C51系列單片機(jī) 2.3交通路口模型圖2.1 模擬交通路口模型2.4總電氣圖總電路功能介紹:設(shè)計主要研究交通燈自動控制。本次設(shè)計的內(nèi)容包括兩個方面,一是普通三色燈的指示;二是兩位一體數(shù)碼管的倒計時顯示;最后就是對交通燈的模擬設(shè)計。圖2.2 模擬交通燈總電氣圖2.5顯示原理: 當(dāng)定時器定時為1秒,時程

6、序跳轉(zhuǎn)到時間顯示及信號燈顯示子程序,它將依次顯示信號燈時間 ,同時一直顯示信號燈的顏色,這時在返回定時子程序定時一秒,在顯示黃燈的下一個時間,這樣依次把所有的燈色的時間顯示完后在重新給時間計數(shù)器賦初值 ,重新進(jìn)入循環(huán)4。圖2.3 LED與單片機(jī)連接電路3 交通燈自動控制電路軟件設(shè)計 3.1單片機(jī)中斷系統(tǒng)基本結(jié)構(gòu) 中斷是一項重要的計算機(jī)技術(shù),是處理正常工作與緊急狀態(tài)的好辦法,是實現(xiàn)人機(jī)實時交互的重要途徑,在單片機(jī)應(yīng)用系統(tǒng)中,中斷技術(shù)得到了廣泛應(yīng)用。下面詳細(xì)介紹單片機(jī)中斷系統(tǒng)基本結(jié)構(gòu)、與中斷相關(guān)的特殊寄存器的設(shè)置及中斷應(yīng)用系統(tǒng)編程方法。當(dāng)CPU查詢到系統(tǒng)有中斷請求時,如果系統(tǒng)處于中斷允許狀態(tài),CP

7、U將停止當(dāng)前的工作,響應(yīng)中斷請求,轉(zhuǎn)向中斷服務(wù),中斷服務(wù)完成后,返回原程序繼續(xù)執(zhí)行當(dāng)前任務(wù),這叫單片機(jī)中斷。89C51系列單片機(jī)中斷系統(tǒng)結(jié)構(gòu)如圖4.1所示。能讓CPU產(chǎn)生中斷的信號源叫中斷源。89C51單片機(jī)有NT0、INT1、T0、T1、TI、RI六個中斷源,但只有EX0、ET0、EX1、ET1、ES五個向量,下面簡要介紹六個中斷源5。圖3.1 單片機(jī)中斷系統(tǒng)基本結(jié)構(gòu)INT0、INT1:外部中斷源,由P3.2和P3.2引腳輸入。具有低電平和脈沖兩種觸發(fā)方式,在每個機(jī)器周期的S5P2采樣引腳信號,如有效則由硬件將它的中斷請求標(biāo)志IE置1,請求中斷。當(dāng)CPU響應(yīng)中斷時,由硬件復(fù)位。T0、T1:定

8、時/計數(shù)器中斷,當(dāng)定時/計數(shù)器產(chǎn)生溢出時,置位中斷請求標(biāo)志TF請求中斷處理。RI、TI:串行中斷,RI是接收,TI為發(fā)送。單片機(jī)串行口接收到一個字符后RI置1,發(fā)送完一個字符TI置1。值得注意的是,RI、TI在響應(yīng)中斷后,必須由用指令將其復(fù)位。 中斷響應(yīng)CPU在執(zhí)行程序的過程中,在每個機(jī)器周期的S5P2對中斷標(biāo)志位按中斷優(yōu)先級進(jìn)行查詢,一旦查詢到有中斷請求,CPU只要不在執(zhí)行同級或高級的中斷服務(wù)程序和當(dāng)前指令(RETI指令或訪問IE、IP的指令除外)執(zhí)行完畢兩種情況,則響應(yīng)中斷。如果當(dāng)前正在執(zhí)行的指令是RETI或訪問IE、IP的指令,則當(dāng)前指令執(zhí)行完畢后,CPU才可響應(yīng)中斷。中斷響應(yīng)時間可以從

9、中斷信號被查詢開始算起,中斷響應(yīng)時間在以下三種情況下,響應(yīng)時間還會更長: CPU正在執(zhí)行一個比要響應(yīng)的中斷源優(yōu)先級相等或更高的中斷源的中斷服務(wù)程序,此時須等到中斷服務(wù)程序執(zhí)行完畢才可中斷響應(yīng)。 正在執(zhí)行的當(dāng)前指令不是在最后一個機(jī)器周期,只有指令執(zhí)行完后才響應(yīng)中斷。 如果當(dāng)前執(zhí)行的是RETI或訪問IE、IP的指令,則當(dāng)前指令執(zhí)行完畢后,CPU需再執(zhí)行一條指令才可以中斷響應(yīng),因此附加等待響應(yīng)時間不會超過5個機(jī)器周期。單片機(jī)響應(yīng)中斷后,將轉(zhuǎn)向特定的入口進(jìn)行中斷服務(wù),單片機(jī)的中斷入口地址如表3.1所示。表3.1 MCS-51單片機(jī)中斷服務(wù)程序入口地址表中 斷 源入 口 地 址IE0(外部中斷0)000

10、3HTF0(定時器0溢出中斷)000BHIE1(外部中斷1)0013HTF1(定時器1溢出中斷)001BHRI+TI(串行口中斷)0023H 從表中可以看出,兩相鄰中斷源的入口地址間隔為8個單元。這意味著如果要把中斷源對應(yīng)的中斷服務(wù)程序從入口地址開始存放,則程序的長度不能超過8個字節(jié),否則會影響到下一個中斷源的入口地址的使用。而通常的情況下,中斷服務(wù)程序的長度不止8個字節(jié),因此,常見的處理方法是:在入口地址處存放一條無條件轉(zhuǎn)移指令,通過這條轉(zhuǎn)移指令轉(zhuǎn)向?qū)?yīng)的中斷服務(wù)程序入口,中斷服務(wù)程序以RETI為結(jié)束。中斷請求的撤銷6CPU響應(yīng)中斷請求,在中斷返回(RETI)之前,該中斷請求應(yīng)被撤除,否則會

11、引發(fā)另一次中斷。定時/計數(shù)器中斷請求撤銷:CPU在響應(yīng)中斷后,由硬件自動清除中斷請求標(biāo)志TF。外部中斷請求撤銷:如果采用脈沖觸發(fā)方式,CPU在響應(yīng)中斷后,由硬件自動清除中斷請求標(biāo)志IE;對于電平觸發(fā)方式的外部中斷請求,中斷標(biāo)志的撤銷是自動的,由于造成中斷請求的低電平繼續(xù)存在,所以在響應(yīng)中斷后再次會產(chǎn)生中斷請求,為此響應(yīng)中斷后要撤銷外部信號。單片機(jī)有INT0、INT1兩個外部中斷源,INT0對應(yīng)單片機(jī)P3.0,INT1對應(yīng)P3.2。單片機(jī)的外部中斷與特殊寄存器IE、TCON、IP有關(guān)。INT0中斷入口地址是0003H,INT1中斷入口地址是000BH,下面介紹單片機(jī)外部中斷的設(shè)置與應(yīng)用。3. 2

12、交通燈自動控制的設(shè)計程序流程框圖開始初始化程序END20秒倒計時顯示東西黃燈閃亮2秒南北綠燈亮,東西紅燈亮20秒倒計時顯示南北黃燈閃亮2秒南北紅燈亮,東西綠燈亮圖3.2 交通燈自動控制的設(shè)計程序流程框圖3.3每秒鐘的設(shè)定 延時方法可以有兩種一中是利用MCS-51內(nèi)部定時器才生溢出中斷來確定1秒的時間,另一種是采用軟延時的方法。 3.4計數(shù)器初值計算 定時器工作時必須給計數(shù)器送計數(shù)器初值,這個值是送到TH和TL中的。我們可以把計數(shù)器記滿為零所需的計數(shù)值設(shè)定為C和計數(shù)初值設(shè)定為TC 可得到如下計算通式: TC=M-C4 系統(tǒng)仿真由交通燈自動控制程序一開始可以看到,首先東西方向綠燈亮,南北方向紅燈亮

13、,同時開始計時20s,在7段數(shù)碼管上顯示時間。當(dāng)20s倒計時結(jié)束后,開始3s倒計時,并且東西方向綠燈開始閃爍,南北方向紅燈亮。當(dāng)3s倒計時結(jié)束后再開始2s倒計時,并且東西方向黃燈亮,南北方向還是紅燈亮。當(dāng)2s倒計時結(jié)束后開始20s的倒計時,此時是南北方向綠燈亮,東西方向紅燈亮。接下來就是南北方向綠燈閃爍3s,再南北方向黃燈閃爍2s,接著又開始循環(huán)。仿真結(jié)果如下所示。 圖4.1 模擬黃燈閃亮?xí)r的仿真圖 圖4.2 模擬交通燈運行仿真圖附錄一 程序流程圖開始初始化參數(shù)東西方向亮紅綠,南北方向亮紅燈P1 2=0延時20秒東西方向亮黃燈,南北方向亮紅燈延時2秒南北方向亮綠燈,東西方向亮紅燈啟動定時器,允

14、許中斷延時20秒南北方向亮黃燈,東西方向亮紅燈延時2秒N圖1 主程序流程圖附錄二 源程序代碼: SECOND1 EQU 30H ;東西路口計時寄存器 SECOND2 EQU 31H ;南北路口計時寄存器 DBUF EQU 40H ;顯示碼緩沖1 TEMP EQU 44H ;顯示碼緩沖2 LED_G1 BIT P1.0 ;東西路口綠燈 LED_Y1 BIT P1.1 ;東西路口黃燈 LED_R1 BIT P1.2 ;東西路口紅燈 LED_G2 BIT P1.5 ;南北路口綠燈 LED_Y2 BIT P1.6 ;南北路口黃燈 LED_R2 BIT P1.7 ;南北路口紅燈 ORG 0000H LJ

15、MP START ORG 0003H LJMP INTO0 ORG 0100HSTART: MOV TMOD,#01H 置T0工作方式1 MOV TH0,#3CH MOV TLO,#0B0H CLR TFO SETB TRO 啟動T0 SETB EX0SETB PX0 設(shè)置外中斷0高優(yōu)先級SETB EALOOP: MOV R2,#20 置1s計數(shù)初值 MOV R3,#20 綠燈亮20S MOV SECOND1,#20 東西路口計時顯示初值20s MOV SECOND2,#20 南北路口計時顯示初值20s LCALL DISPLAY LCALL STATEL 調(diào)用狀態(tài)1WAIT1:JNB TF0

16、,WAIT1 查詢50ms到否 CLR TF0 MOV TH0,#3CH 恢復(fù)T0定時初值50ms MOV TL0,#0B0H DJNZ R2,WAIT1 判斷1s到否?未到繼續(xù)狀態(tài)1 MOV R2,#20 置50ms計數(shù)初值 DEC SECIND1 東西路口顯示時間減1 DEC SECOND2 南北路口顯示時間減1 LCALL DISPLAY DJNZ R3,WAIT1 狀態(tài)1維持20s MOV R2,#5 置50ms計數(shù)初值 MOV R3,#3 綠燈閃爍3s MOV R4,#4 閃爍間隔200ms MOV SECOND1,#5 東西路口計時顯示初值5s MOV SECOND2,#5 南北路

17、口計時顯示初值5s LCALL DISPLAYWAIT2:LCALL STATE2 調(diào)用狀態(tài)2 JNB TF0,WAIT2 查詢50ms到否 CLR TF0 MOV TH0,#3CH 恢復(fù)T0定時初值50ms MOV TL0,#0B0H DJNZ R4,WAIT2 判斷200ms到否?未到繼續(xù)狀態(tài)2 CPLLED_G1 東西綠燈閃爍 MOV R4,#4 閃爍200ms DJNZ R2,WAIT2 判斷1s到否?未到繼續(xù)狀態(tài)2 MOV R2,#5 置50ms計數(shù)初值 DEC SECOND1 東西路口顯示時間減1 DEC SECOND2 南北路口顯示時間減1 LCALL DISPLAYDJNZ R

18、3,WAIT2 狀態(tài)2維持3sMOV R2,#20 置50ms計數(shù)初值MOV R3,#2 黃燈閃爍2sMOV SECOND1,#2 東西路口計時顯示初值2sMOV SECOND2,#2 南北路口計時顯示初值2sLCALL DISPLAY WAIT3:LCALL STATE3 調(diào)用狀態(tài)3 JNB TF0,#3CH 查詢100ms到否 CLR TF0 MOV TH0,#3CH 恢復(fù)T0定時初值100ms MOV TL0,#0B0H DJNZ R2,WAIT3 判斷1s到否?未到繼續(xù)狀態(tài)3 MOV R2,#20 置100ms計數(shù)初值 DEC SECOND1 東西路口顯示時間減1 DEC SECOND

19、2 南北路口顯示時間減1 LCALL DISPLAY DJNZ R3,WAIT3 狀態(tài)3維持2s MOV R2,#20 置50ms計數(shù)初值 MOV R3,#20 紅燈閃爍20s MOV SECOND1,#25 東西路口計時顯示初值20s MOV SECOND2,#25 南北路口計時顯示初值20s LCALL DISPLAYWAIT4:LCALL STATE4 調(diào)用狀態(tài)4 JNB TF0,WAIT4 查詢100ms到否 CLR TF0 MOV TH0,#3CH 恢復(fù)T0定時初值100ms MOV TL0,#0B0H DJNZ R2,WAIT4 判斷1s到否?未到繼續(xù)狀態(tài)4 MOV R2,#20

20、置100ms計數(shù)初值 DEC SECOND1 東西路口顯示時間減1 DEC SECOND2 南北路口顯示時間減1 LCALL DISPLAY DJNZ R3,WAIT4 狀態(tài)4維持2s MOV R2,#5 置50ms計數(shù)初值 MOV R3,#4 紅燈閃爍20s MOV R3,#3 綠燈閃爍3s MOV SECOND1,#20 東西路口計時顯示初值20s MOV SECOND2,#20 南北路口計時顯示初值20s LCALL DISPLAYWAIT5:LCALL STATE5 調(diào)用狀態(tài)5 JNB TF0,WAIT5 查詢100ms到否 CLR TF0 MOV TH0,#3CH 恢復(fù)T0定時初值1

21、00ms MOV TL0,#0B0H DJNZ R4,WAIT5 判斷200ms到否?未到繼續(xù)狀態(tài)5 CPLLED_G2 南北綠燈閃爍 MOV R4,#4 閃爍200ms DJNZ R2,WAIT5 判斷1s到否?未到繼續(xù)狀態(tài)5 MOV R2,#5 置100ms計數(shù)初值 DEC SECOND1 東西路口顯示時間減1 DEC SECOND2 南北路口顯示時間減1 LCALL DISPLAY DJNZ R3,WAIT5 狀態(tài)5維持3s MOV R2,#20 置50ms計數(shù)初值 MOV R3,#20 紅燈閃爍2s MOV SECOND1,#20 東西路口計時顯示初值20s MOV SECOND2,#

22、20 南北路口計時顯示初值20s LCALL DISPLAYWAIT6:LCALL STATE6 調(diào)用狀態(tài)4 JNB TF0,WAIT6 查詢100ms到否 CLR TF0 MOV TH0,#3CH 恢復(fù)T0定時初值100ms MOV TL0,#0B0H DJNZ R2,WAIT6 判斷1s到否?未到繼續(xù)狀態(tài)6 MOV R2,#20 置100ms計數(shù)初值 DEC SECOND1 東西路口顯示時間減1 DEC SECOND2 南北路口顯示時間減1 LCALL DISPLAY DJNZ R3,WAIT6 狀態(tài)6維持3s LJMP LOOP STATE1: 狀態(tài)1 SETB LED_G1 東西路口路

23、燈亮 CLR LED_Y1 CLR LED_R1 CLR LED_G2 CLR LED_Y2 SETB LED_R2 南北路口紅燈亮 RET STATE2: 狀態(tài)2 CLR LED_Y1 CLR LED_R1 CLR LED_G2 CLR LED_Y2 SETBLED_R2 南北路口紅燈亮 RET STATE3: 狀態(tài)3 CLR LED_G1 CLR LED_R1 CLR LED_G2 CLR LED_Y2 SETB LED_R2 南北路口紅燈亮 SETB LED_Y1 東西路口黃燈亮 RET STATE4: 狀態(tài)4 CLR LED_G1 CLR LED_Y1SETB LED_R1 東西路口紅

24、燈亮SETB LED_G2 南北路口綠燈亮 CLR LED_Y2 CLR LED_R2 RET STATE5: 狀態(tài)5 CLR LED_G1 CLR LED_R1 SETB LED_R1 東西路口紅燈亮 CLR LED_Y2 CLR LED_R2 RET STATE6: 狀態(tài)6 CLR LED_G1 CLR LED_Y1 SETB LED_R1 東西路口紅燈亮 CLR LED_G2 CLR LED_R2 SETB LED_Y2 南北路口黃燈亮 RET DISPLAY: 數(shù)碼顯示 MOV A,SECOND1 東西路口計時寄存器 MOV B,#10 DIV AB MOV DBUF+3,A MOV

25、A,B MOV DBUF+2,A MOV SECOND2 南北路口計時寄存器 MOV B,#10 DIY AB MOV DBUF+1,A MOV A,B MOV DBUF,A MOV R0,#DBUF MOV R1,#TEMP MOV R7,#4 DP10:MOV DPOTR,#LEDMAP MOV A,R0 MOVC A,A+DPTR MOV R1,A INC R0 INC R1 DJNZ R7,DP10 MOV R0,#TEMP MOV R1,#4 DP12:MOV R7,#8 MOV A,R0 DP13:RLC A MOV P3.0,C CLR P3.1 SETB P3.1 DJNZ R

26、7,DP13 INC R0 DJNZ R1,DP12 RET LENMAP:DB 3FH,06H,5BH,4FH,66H,6DH DB 7DH,07H,7FH,6FH ORG 0200HINTO0:PUSH PSW PUSH ACC SETB LED_R1 SETB LED_R2 CLR LED_G1 CLR LED_Y1 CLR LED_G2 CLR LED_Y2 POP PSW POP ACC RETI END 體會與總結(jié) 通過綜合實踐,實現(xiàn)電子產(chǎn)品從點穴觀念設(shè)計到生成物理數(shù)據(jù)的全過程。它 改變了以往采用定量計算和搭電路實驗為基礎(chǔ)的傳統(tǒng)設(shè)計方式,是電子電路的分 析與設(shè)計方法發(fā)生了

27、重大變革,成為當(dāng)今電子系統(tǒng)及專用集成電路設(shè)計中不可缺 少的重要手段。. 目前,在電子行業(yè)設(shè)計中,實用電路輔助設(shè)計軟件對產(chǎn)品進(jìn)行輔助設(shè)計已經(jīng) 成為了一種趨勢,這類軟件的問世極大地提高了電路設(shè)計人員第一產(chǎn)品的設(shè)計質(zhì) 量與效率。鑒于目前計算機(jī)技術(shù)的發(fā)展,作為一名電子專業(yè)的學(xué)生,應(yīng)該對這方 面的知識有一個比較系統(tǒng)的了解。通過這次綜合實踐的鍛煉,我學(xué)到了很多的知 識。對我們來說設(shè)計電路圖是一個比較復(fù)雜和艱難的事情,通過制作這個簡易的 四路搶答器,首先,我了解了設(shè)計電路的程序,對電路設(shè)計過程有了一個較為系 統(tǒng)的了解;對單個的元器件的特性有了進(jìn)一步的了解,并且一方面,使我在知識上的應(yīng)用上了一個層次,更深一層地了解到書本上我們應(yīng)該掌握的理論,并將其付諸實踐,應(yīng)用到人們的日常生活中去,從而使我找到了更濃厚的學(xué)習(xí)興趣,也認(rèn)識到單片機(jī)技術(shù)在現(xiàn)代生活中的重要地位,看到這個“口香糖大小的迷你主機(jī)”的無限潛能。比如,LED 有它自己的工 作電壓和電流,所以和發(fā)光二極管串聯(lián)的電阻要選擇合

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論