仿真技術在流程績效管理中的應用_第1頁
仿真技術在流程績效管理中的應用_第2頁
仿真技術在流程績效管理中的應用_第3頁
仿真技術在流程績效管理中的應用_第4頁
仿真技術在流程績效管理中的應用_第5頁
已閱讀5頁,還剩3頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、仿真技術在半導體和集成電路生產(chǎn)流程優(yōu)化中的應用閔春燕(1) 王巖峰 博士(2)(1. 浙江大學應用數(shù)學系計算機圖形圖象研究所 杭州 310027 2. 刃之礪信息科技(上海)公司總經(jīng)理 ) 摘要:半導體和集成電路制造是一個流程高度復雜,資金高度密集的加工過程。與其他產(chǎn)品的制造過程相比,半導體和集成電路制造的特殊性表現(xiàn)在產(chǎn)品工序的繁多,對設備的高利用率要求,和最特殊的是,“再進入”(Re-entry)的流程特點,也就是產(chǎn)品在加工過程中要多次返回到同一設備進行不同工序的加工。這種特殊的工藝流程特點決定了半導體集成電路工序中的排隊優(yōu)化選擇策略比其他制造行業(yè)更為復雜,對生產(chǎn)效率和制造周期有更直接的影響

2、。本文總結了當前研究較多的幾個排隊選擇策略,通過EXTEND仿真軟件對英特爾的一個微型晶圓試驗臺進行初步研究,來說明計算機仿真手段在半導體集成電路生產(chǎn)流程優(yōu)化中的作用。中圖分類號:TN3關鍵字:EXTEND軟件包;仿真;半導體集成電路;制造工藝流程;排隊策略;制造周期一半導體和集成電路制造流程的特點如今,半導體(芯片或集成電路)越來越便宜,越來越普遍。然而集成電路(IC)的制造成本變得越來越高和工藝越來越復雜。隨著在工廠和設備上的大量投資,要處理多重再進入(Multi-Reentrant)工藝流程以及IC技術加快更新?lián)Q代的速度,使得生產(chǎn)IC的工廠是最復雜,資金最密集的生產(chǎn)環(huán)境之一。無數(shù)事實證明

3、,生產(chǎn)效率是利潤的關鍵。半導體行業(yè)中有研究用平均制造周期(Mean Cycle Time)和理論制造周期(Sum of process time)之比(稱作實際理論率)來衡量二者的差距,發(fā)現(xiàn)這個比率在2.5倍和10倍之間波動,遠遠高于其他制造行業(yè)3。比率越大,越說明工藝處理流程的復雜和不確定。導致半導體制造流程生產(chǎn)效率波動的原因包括:產(chǎn)品工序的繁多,對設備的高利用率要求,和最特殊的是,“再進入”(Re-entry)的流程特點。此外,變動的質量帶來的重新加工工序,產(chǎn)品的批處理,靈活性要求較高的產(chǎn)品轉換,對制造周期的快速反應能力等等,都對半導體和集成電路行業(yè)的生產(chǎn)規(guī)劃增加了難度。對任何IC廠商,提

4、高生產(chǎn)效率有三種方法:建立一個更有效的工廠,更新已有工廠或改變工廠的操作。包括英特爾,摩托羅拉在內(nèi)的多家財富100強半導體生產(chǎn)商選擇利用計算機仿真模型研究生產(chǎn)流程,指導他們重組生產(chǎn)操作。事實證明,許多晶圓制造廠(FAB)的制造周期縮短了2030,生產(chǎn)效率得到了很大的提高1。在改變晶圓生產(chǎn)運作之前,為了降低風險,對重大的改進進行模擬是很有必要的。不僅變化不能對現(xiàn)有生產(chǎn)產(chǎn)生巨大的影響,而且要有足夠的數(shù)據(jù)證明變化應該是有效果的。半導體生產(chǎn)商意識到建立晶圓生產(chǎn)的動態(tài)仿真模型可以在不破壞現(xiàn)有操作的情況下提供可證實的結果。包括摩托羅拉在內(nèi)的許多半導體廠商選擇利用EXTEND仿真軟件包來模擬生產(chǎn)線運作,產(chǎn)生

5、了非常理想的效果。通過計算機仿真,可以對以下問題進行深入研究:(1)多產(chǎn)品的混合組合對生產(chǎn)效率的影響;(2)在“再進入”(Re-entry)情況下的產(chǎn)品處理排序的選擇;(3)生產(chǎn)瓶頸和生產(chǎn)設備使用率的分析;(4)原材料和在制品的釋放速度對生產(chǎn)效率的影響;(5)生產(chǎn)需求,原料供給,設備使用,人員配置等的波動對生產(chǎn)效率的影響。(6)生產(chǎn)資源配置的合理性分析;二EXTEND 仿真軟件的特點和在半導體制造中的應用EXTEND是由美國Imagine That公司開發(fā)的可對離散和連續(xù)時間系統(tǒng)仿真的高靈活性、高可擴展性,高性能價格比的優(yōu)秀仿真平臺。在半導體和集成電路制造領域,EXTEND也同樣得到了廣泛的應

6、用,客戶包括摩托羅拉,愛立信,諾基亞,國家半導體,德州設備等世界一流企業(yè)。它在半導體行業(yè)的適用性體現(xiàn)在以下方面:l 由于EXTEND具有高度柔韌性和高度擴展性(可編程),所以可以根據(jù)任何流程和運營特點“量體裁衣”式設計模塊,搭建模型。對半導體行業(yè)中的“再進入”(Re-entry)流程模式非常適用。l 用EXTEND開放的代碼體系和強大的編程工具,來模擬各種決策,包括產(chǎn)品混合比例,工藝排序,返工處理等等。特別是和EXCEL和數(shù)據(jù)庫的接口,可以處理大量的數(shù)據(jù)。三、EXTEND 仿真半導體生產(chǎn)流程建模案例這個案例是用EXTEND仿真軟件,建立半導體生產(chǎn)流程模型,并通過對一系列在制產(chǎn)品排序策略的考察,

7、找到適用于流程特點的最優(yōu)策略。半導體晶圓制造中5個主要的加工過程是化學清洗,平面光刻,離子注入,金屬沉積/氧化,等離子體/化學蝕刻。常常會有某些步驟被跳過、重復或者用不同順序來完成。同一個晶圓能被某個特殊機器加工15到25次之多,而且每次加工處理所需的時間都可能不同。生產(chǎn)線的“再進入”是半導體加工的特征,這在其他工業(yè)加工過程中并不常見。晶圓是以分批或者是批次形式在生產(chǎn)線上流動的。在同一時間內(nèi),某些加工步驟發(fā)生在一個晶圓上,一些步驟發(fā)生在一整批晶圓上,一些步驟發(fā)生在很多批晶圓上(即批處理)。在每一臺機器之前,會有許多不同種類,不同工序步驟的待處理產(chǎn)品,如何選擇最優(yōu)的排隊策略對整個生產(chǎn)系統(tǒng)的效率有

8、著非常顯著的影響。排隊策略決定一個機器空下來時其下一步要做的工作。排隊原則經(jīng)常用于瓶頸區(qū)域。此外,產(chǎn)品的釋放策略可以通過控制產(chǎn)品釋放到生產(chǎn)各環(huán)節(jié)的速度方式,來獲得更短的,更有效的工藝流程時間??紤]到半導體晶圓加工過程中流程的復雜程度、不可預知的事件、人為干涉等,確定一個最優(yōu)的排隊策略和釋放策略是非常具有挑戰(zhàn)性的。為此,我們利用一個英特爾公司提供的一個簡化的晶圓生產(chǎn)流程來建立EXTEND仿真模型1。下圖是由英特爾制造系統(tǒng)首席科學家Karl Kempf提供的試驗臺模型。這個試驗臺是半導體FAB中一個非常小的部分的一個范例,也是作為一個微型FAB而提出的。擴散 平面光刻工藝 離子注入圖一.5臺機器,

9、6個步驟的微型FAB工藝流程示意圖微型FAB包括在3種不同的機器組,6個步驟的工藝流程和2種產(chǎn)品晶片和試驗晶片。在每個機器組,步驟4,5,6處都是一個再進入入口。機器組包括擴散C1(2個機器Ma和Mb),離子注入C2(2個機器Mc和Md)和平面光刻技術C3(一個機器Me)。通過離散事件模擬模型,機器利用率能被觀察到并做多方位比較,在制產(chǎn)品隊列的統(tǒng)計數(shù)據(jù)能被記錄下來,平均制造周期和平均WIP能被算出,產(chǎn)出量可以被仿真出,瓶頸容量可以隨意增加減少,預防性的維修進程可以被模擬,員工數(shù)量的波動影響可以被確定。仿真可以讓管理層無需打亂工廠的現(xiàn)狀,花費最小地實驗各種假想的情況。四、排隊策略的理論依據(jù)半導體

10、集成電路中的優(yōu)化排隊策略的提出均據(jù)于排隊論中兩個基本公式:Littles Law 和Kingman Formula。l Littles Law,更多情況下叫庫存規(guī)律,是指:在任意考察的系統(tǒng)中,如果N是存在于系統(tǒng)的所有產(chǎn)品總量,是產(chǎn)品在加工時進入系統(tǒng)的平均到達率,T是停留在系統(tǒng)中的平均周期時間(包括累積加工時間加上累積等待時間),則有l(wèi) Kingman Formula則說明了系統(tǒng)中產(chǎn)品存量,系統(tǒng)波動(輸入波動和處理波動)及加工負荷之間的關系:N=系統(tǒng)中產(chǎn)品存量;V=輸入變量+處理變量; =加工負荷系數(shù)1, 可以看出, 當趨向1時,也就是當機器(系統(tǒng))接近滿負荷工作時,系統(tǒng)中產(chǎn)品存量對系統(tǒng)波動非常

11、敏感。由Littles Law 和Kingman Formula看出,為了要減少整個FAB的周期時間,則在產(chǎn)品加工平均到達速度不變的情況下,根據(jù)Littles Law,要減少系統(tǒng)產(chǎn)品存量N;同時又根據(jù)Kingman Formula,在不變的情況下減少系統(tǒng)波動V來達到減少系統(tǒng)產(chǎn)品存量。五、排隊策略的仿真結果利用計算機仿真模型,我們考察了幾個在半導體集成電路行業(yè)中研究較多的如下排隊策略:排隊策略名稱解釋First Come First Serve (FCFS)按照在制品到達機器的先后次序,先來先處理First Buffer First Serve (FBFS)按照工序順序標識緩沖,S1-S2-S3

12、-S4-S5-S6, FBFS將高優(yōu)先級賦予工序在先的緩沖內(nèi)的在途產(chǎn)品。對于同一機器,低優(yōu)先級的緩沖中的產(chǎn)品必須等到高優(yōu)先級緩沖中的產(chǎn)品全部處理完畢之后,才開始處理。Last Buffer First Serve(LBFS)按照工序順序標識緩沖,S1-S2-S3-S4-S5-S6, FBFS將高優(yōu)先級賦予工序在后的緩沖內(nèi)的在途產(chǎn)品。對于同一機器,低優(yōu)先級的緩沖中的產(chǎn)品必須等到高優(yōu)先級緩沖中的產(chǎn)品全部處理完畢之后,才開始處理。Minimum Remaining Process Time (MRPT)對于同一緩沖中的產(chǎn)品,計算產(chǎn)品平均剩余(理想)制造時間總和, 將排隊優(yōu)先順序賦予最小剩余制造時間的

13、產(chǎn)品。Fluctuation Smoothing-Mean Cycle Time(FSMCT)對于同一緩沖中的產(chǎn)品,計算到交貨期為止的允許剩余時間和從此緩沖到下一個緩沖所需要的實際平均時間之間的松弛量。松弛量越小,優(yōu)先級越高。FCFS一般用來作為新策略效果的比較基準。半導體集成電路的制造周期(Cycle Time)的績效目標有兩個方面,一方面是減少制造周期的平均時間,而另一方面是減少制造周期的波動范圍。前者是為了提高生產(chǎn)效率和設備利用率,而后者是為了取得一貫和持久的好的結果。 在文章5當中,Kumar 提出LBFS可以對減少制造周期的平均時間有益。因為,根據(jù)Littles Law, 如果要減少

14、制造周期的平均時間,在產(chǎn)品釋放到系統(tǒng)的速率不變的情況下,就要減少在途庫存。因此,機器的最佳策略就是盡可能快的將存留在系統(tǒng)中的產(chǎn)品清理出去,越是離處理結束越近的產(chǎn)品,越需要盡快處理掉。但是這個理論對于多產(chǎn)品種類,并且產(chǎn)品工藝路線和處理時間都不相同的處理情況就顯得過于簡單了。為此,MRPT秉持同樣的理論依據(jù),但是考察每種產(chǎn)品的平均剩余制造時間的總和。最簡單的做法就是將每種產(chǎn)品剩余工序的定額時間累積起來(Sum of remaining process time),時間越少,優(yōu)先級越高。但是,根據(jù)前文所述,在半導體集成電路行業(yè)中,平均制造周期(Mean Cycle Time)和理論制造周期(Sum

15、of process time)之比(稱作實際理論率)在2.5倍和10倍之間波動,遠遠高于其他制造行業(yè)。用定額時間作為排序依據(jù)會與實際情況相差過大。為此,Lu3根據(jù)Littles Law 提出了一類排序策略叫波動平穩(wěn)策略(Fluctuation Smoothing)。這類策略認為產(chǎn)品流到各個處理機器的類似“脈沖”的不規(guī)律的波動性是導致制造周期變長的根本原因。如果將產(chǎn)品在復雜生產(chǎn)系統(tǒng)中的移動看作是產(chǎn)品流,這類策略試圖使這些產(chǎn)品流變得更加平穩(wěn),波動更加趨緩。這類策略之一的Fluctuation Smoothing-Mean Cycle Time(FSMCT)是可以既減少平均制造周期,又可以減少制造

16、周期波動的比較好的辦法。雖然文獻3中對原理做了解釋,但更側重于單種產(chǎn)品的復雜再進入流程,而我們則借助計算機仿真模型,對多種產(chǎn)品種類的再進入流程應用FSMCT也做個研究,得到同樣類似的結論。以FCFS的平均制造周期為基準,下表列出了我們對以上幾種排隊策略對制造周期的縮短率:排隊策略比FCFS基準制造周期縮短FBFS17.1%MRPT19.6%LBFS22.4%FSMCT30.2%雖然,F(xiàn)CFS的平均制造周期最長,但是制造周期的波動性(Variability)并不一定最大。我們仿真的結果顯示FSMCT不但使平均制造周期縮短最多,而且使制造周期的波動性(標準方差)最小。 五總結EXTEND提供了有力

17、的工具來研究多種策略對績效指標的影響。 按照國外文獻記載,許多排序策略和釋放策略可以比FCFS策略縮短制造周期達20到302, 而且隨著生產(chǎn)流程和工序的復雜程度不斷增加,優(yōu)化策略對生產(chǎn)效率的提高將更加明顯1。鑒于這片文章成稿之時,許多策略還在試驗之中,更多的研究結果將會不斷得出,歡迎感興趣的讀者和本文作者聯(lián)系。(王巖峰的聯(lián)系是yanfeng.wangedgestone-; 閔春燕的聯(lián)系是mcy)。參考文獻:【1】KEMPF K, “Detailed Description of Multiple Product Re-entrant Semiconductor Manufacturing Sy

18、stem Example,” Prepared report, Intel Corporation, Technology, and Manufacturing Group, August, 1994.【2】COLLINS D.W and HOPPENSTEADT F.C, “Investigation of Minimum Inventory Variability Scheduling Policies in a Large Semiconductor Manufacturing Facility,” 1997 American Control Conference, Albuquerqu

19、e, New Mexico, June 4-6, 1997.【3】LU S. C. H, RAMASWAMY D, and KUMAR P. R, "Efficient scheduling policies to reduce mean and variance of cycle-time in semiconductor manufacturing plants," IEEE Transactions on Semiconductor Manufacturing, August 1994, vol. 7, pp. 374-385.【4】WEIN L. M, “Sched

20、uling semiconductor wafer fabrication," IEEE Trans. Semiconductor Manufacturing, vol. 1, Aug. 1988, pp. 115-130.【5】KUMAR P. R, "Re-entrant lines," Queueing Systems: Theory and Applications: Special Issue on Queueing Networks, vol. 13, May 1993, pp. 87-110.作者簡介: 閔春燕,碩士,浙江大學應用數(shù)學系計算機圖形圖象

21、研究所,主要從事輔助幾何設計,曲線曲面造型設計等開發(fā)研究工作。聯(lián)系方式mcy. 王巖峰,美國波士頓大學制造工程(工業(yè)工程)系博士畢業(yè)?,F(xiàn)為刃之礪信息科技(上海)有限公司創(chuàng)始人和總經(jīng)理。曾工作于美國i2 Technologies, Tyco Electronics, Panasonic,從事利用計算機仿真技術進行流程效率改善和供應鏈物流管理,服務的客戶包括許多世界500強企業(yè),例如Ford, Caterpillar, Bosch, Toyota等等。聯(lián)系方式:上海徐匯區(qū)漕溪路135號金浦大樓406室(200235);電子郵件yanfeng.wangedgestone-. Simulation T

22、echnology Application in Optimal Semiconductor and Integrated Circuit Manufacturing Process ManagementAbstractThe process of semiconductor and IC manufacturing is highly complex and capital intensive. Different from other manufacturing processes, the unique complexity exhibited in semiconductor indu

23、stry comes from multiple product mix, high demand for machine utilization and, “re-entry” characteristic, i.e., a batch of products has to re-enter into the same machine several times to complete the production recipe during its routing. In addition, re-work from quality fluctuation, batch process,

24、flexible changeover and setup and quick response to cycle time all impose great challenges to production scheduling and optimal resource allocation. Cycle time reduction has been one of most important research subjects in semiconductor industry domestically and internationally. And it has been shown that que

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論