51單片機簡易密碼鎖_第1頁
51單片機簡易密碼鎖_第2頁
51單片機簡易密碼鎖_第3頁
51單片機簡易密碼鎖_第4頁
51單片機簡易密碼鎖_第5頁
已閱讀5頁,還剩31頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、學(xué)學(xué) 號:號:201114040215201114040215HEBEI UNITED UNIVERSITY單單片片機機課課程程 設(shè)設(shè)計計說說明明書書設(shè)計題目:設(shè)計題目:簡易電子密碼鎖簡易電子密碼鎖學(xué)生姓名:學(xué)生姓名:李紅輝李紅輝專業(yè)班級:專業(yè)班級:測控技術(shù)及儀器測控技術(shù)及儀器 2 2學(xué)學(xué) 院:院:電氣工程學(xué)院電氣工程學(xué)院指導(dǎo)教師:指導(dǎo)教師:曹曉華曹曉華 2014 年年 06 月月 05 日日成績評定表指導(dǎo)教師評語:指導(dǎo)教師評語: 答答 辯辯 成成 績:績: (占總成績(占總成績 60%)說明書說明書 成成 績:績: (占總成績(占總成績 40%)摘 要在日常的生活和工作中, 住宅與部門的安全

2、防范、單位的文件檔案、財務(wù)報表以及一些個人資料的保存多以加鎖的辦法來解決。若使用傳統(tǒng)的機械式鑰匙開鎖,人們常需攜帶多把鑰匙, 使用極不方便, 且鑰匙丟失后安全性即大打折扣。隨著科學(xué)技術(shù)的不斷發(fā)展,人們對日常生活中的安全保險器件的要求越來越高。為滿足人們對鎖的使用要求,增加其安全性,用密碼代替鑰匙的密碼鎖應(yīng)運而生。密碼鎖具有安全性高、成本低、功耗低、易操作等優(yōu)點。在安全技術(shù)防范領(lǐng)域,具有防盜報警功能的電子密碼鎖逐漸代替?zhèn)鹘y(tǒng)的機械式密碼鎖,克服了機械式密碼鎖密碼量少、安全性能差的缺點,使密碼鎖無論在技術(shù)上還是在性能上都大大提高一步。隨著大規(guī)模集成電路技術(shù)的發(fā)展,特別是單片機的問世,出現(xiàn)了帶微處理器

3、的智能密碼鎖,它除具有電子密碼鎖的功能外,還引入了智能化管理、專家分析系統(tǒng)等功能,從而使密碼鎖具有很高的安全性、可靠性,應(yīng)用日益廣泛。本課程設(shè)計介紹了基于單片機電子密碼鎖的設(shè)計,該設(shè)計硬件主要由AT89C52最小系統(tǒng)、矩陣電路、1602LCD顯示電路、電源電路和報警電路等幾部分組成。軟件主要由C語言編程。該密碼鎖由單片機技術(shù),通過矩陣電路設(shè)置、修改密碼、保護密碼,并由LCD顯示密碼輸入,從而實現(xiàn)對密碼的設(shè)置、保護。關(guān)鍵詞: 單片機, 密碼鎖, 1602,最小系統(tǒng),矩陣鍵盤單片機課程設(shè)計說明書AbstractIn daily life and work, the department of ho

4、using and security, unit documents, financial statements and some personal information to save more in order to lock the ways to solve. If use the traditional mechanical key to open the lock, people often need to carry multiple keys, use very convenient, and the key missing after security is comprom

5、ised. With the continuous development of science and technology, people in daily life the demand is higher and higher safety insurance device. To meet the requirements of people on the use of the lock, increase its safety, use the password instead of the key combination lock arises at the historic m

6、oment. Combination lock with high safety, low cost, low power consumption, easy operation, etc.In the field of security technology to guard against, with electronic combination lock anti-theft alarm function gradually replace the traditional mechanical combination lock, overcoming the mechanical com

7、bination lock password quantity is little, the shortcomings of poor safety performance, make the combination lock both in technology and step in performance are improved greatly. With the development of large scale integrated circuit technology, especially the single chip microcomputer, appeared wit

8、h the intelligent combination of the microprocessor, it besides has the function of electronic combination lock, also introduced the intelligent management, expert analysis system, and other functions, so that the combination lock of high security, reliability, and increasingly widely used.The cours

9、e design of electronic combination lock based on MCU is introduced, the design of the hardware is mainly composed of AT89C52 minimum system, matrix circuit, 1602 LCD display circuit, power circuit and alarm circuit and so on several parts. Software is mainly composed of C language programming. The c

10、ombination lock by the single chip microcomputer technology, through the matrix circuit setting, change passwords, password protection, and by the LCD display password input, so as to realize the password Settings, protection.單片機課程設(shè)計說明書Key words: single chip microcomputer, trick lock, the 1602, the

11、smallest system, matrix keyboard單片機課程設(shè)計說明書目目 錄錄摘 要.IABSTRACT.II第 1 章 緒論.71.1 電子密碼鎖的現(xiàn)狀與發(fā)展.71.1.1 電子密碼鎖的現(xiàn)狀.71.1.2 電子密碼鎖的發(fā)展.71.2 電子密碼鎖的特色.7第 2 章 電子密碼鎖的工作原理及總體設(shè)計.82.1 電子密碼鎖的工作原理.82.2 總體結(jié)構(gòu)的設(shè)計.8第 3 章 硬件系統(tǒng)設(shè)計.93.1 單片機最小系統(tǒng)和數(shù)據(jù)輸入.93.1.1 單片機最小系統(tǒng).93.1.2 矩陣鍵盤的設(shè)計與初始數(shù)據(jù)的輸入.113.2 數(shù)據(jù)的輸出與顯示.123.3 報警電路.163.4 硬件電路圖.17第 4

12、 章仿真與調(diào)試.194.1 程序流程.194.2 仿真結(jié)果.20總結(jié).23參考文獻.25附件單片機課程設(shè)計說明書第第 1 1 章章 緒論緒論1.11.1 電子密碼鎖的現(xiàn)狀和發(fā)展電子密碼鎖的現(xiàn)狀和發(fā)展1.1.1 電子密碼鎖的現(xiàn)狀當(dāng)今智能電子密碼鎖發(fā)展已經(jīng)到了非常高的境界,由于電子元件特別是單片機應(yīng)用在這幾年得到空前發(fā)展,無論功能性,穩(wěn)定性都比較全面,在保密方面已做到人眼識別,指紋識別,人聲識別基本上電影上有的現(xiàn)實也有。在國外發(fā)展比較早,所以應(yīng)用也比較廣泛,主要在家庭裝較貴重地方,銀行,保險柜等應(yīng)用較多,在國內(nèi)這方面發(fā)展也較快,不管自己開發(fā)或是引進都有,在重要地方應(yīng)用也較多,由于價錢比普通彈子鎖較

13、貴,早幾年應(yīng)用較少,現(xiàn)在越來越普及到平常化,未來的發(fā)展也會越來越被大眾采用,由于它的功能、安全是彈子鎖無法相比的。發(fā)展前境是非常大的。1.1.2 電子密碼鎖的發(fā)展現(xiàn)在,電子密碼鎖適用于各個領(lǐng)域,尤其在國民建設(shè),和軍事領(lǐng)域具有突出貢獻?,F(xiàn)在的密碼鎖內(nèi)的信息包括了數(shù)字、字符、圖形圖像、人體生物特征和時間等,對電子鎖的要求也越來越高。電子技術(shù)必是各國發(fā)展的優(yōu)先技術(shù)。1.21.2 電子密碼鎖的特色電子密碼鎖的特色保密性好,編碼量多,遠遠大于彈子鎖。隨機開鎖成功率幾乎為零。密碼可變。 用戶可以經(jīng)常更改密碼,防止密碼被盜,同時也可以避免因人員的更替而使鎖的密級下降。誤碼輸入保護。當(dāng)輸入密碼多次錯誤時,報警

14、系統(tǒng)自動啟動。電子密碼鎖操作簡單易行,一學(xué)即會。干擾碼功能 在輸入正確密碼前可輸入任意碼。出門時無需其他操作,只需一次的把手動作,可機械的開啟門,所以遇到火災(zāi)等應(yīng)急狀況下也迅速,安全的開啟門。單片機課程設(shè)計說明書第第 2 2 章章 電子密碼鎖的工作原理及總體設(shè)計電子密碼鎖的工作原理及總體設(shè)計2.12.1 電子密碼鎖的工作原理電子密碼鎖的工作原理本設(shè)計采用 STC89C52 單片機為主控芯片,結(jié)合外圍電路矩陣鍵盤、液晶顯示器 LCD1602 和密碼存儲 AT24C02 等部分組成。其中矩陣鍵盤用于輸入數(shù)字密碼和進行各種功能的實現(xiàn)。由用戶通過連接單片機的矩陣鍵盤輸入密碼,后經(jīng)過單片機對用戶輸入的密

15、碼與自己保存的密碼進行對比,從而判斷密碼是否正確,然后控制引腳的高低電平傳到開鎖電路或者報警電路控制開鎖還是報警,組成的電子密碼鎖系統(tǒng),能夠?qū)崿F(xiàn):1完全正確輸入四位密碼的前提下,有開鎖提示;2錯誤輸入密碼情況下,開鎖密碼錯 3 次要報警,報警期間輸入密碼無效。 3用戶可以自行設(shè)定和修改密碼; 2.22.2 電子密碼鎖的總體框圖電子密碼鎖的總體框圖STC89c52晶振電路矩陣鍵盤顯示電路報警電路復(fù)位電路圖 2-1 總體框圖單片機課程設(shè)計說明書第三章第三章 硬件系統(tǒng)設(shè)計硬件系統(tǒng)設(shè)計3.13.1 單片機最小系統(tǒng)和數(shù)據(jù)輸入單片機最小系統(tǒng)和數(shù)據(jù)輸入3.1.1 單片機最小系統(tǒng)選用單片機作為系統(tǒng)的核心部件,

16、實現(xiàn)控制與處理的功能。單片機具有資源豐富、速度快、編程容易等優(yōu)點。利用單片機內(nèi)部的隨機存儲器(RAM)和只讀存儲器(ROM)及其引腳資源,外接液晶顯示(LCD),鍵盤輸入等實現(xiàn)數(shù)據(jù)的處理傳輸和顯示功能,基本上能實現(xiàn)設(shè)計指標(biāo)。本設(shè)計采用 AT89c52 單片機,89C52 是 INTEL 公司 MCS-51 系列單片機中基本的產(chǎn)品,它采用 ATMEL 公司可靠的 CMOS 工藝技術(shù)制造的高性能 8 位單片機,屬于標(biāo)準(zhǔn)的 MCS-51 的 HCMOS 產(chǎn)品。它結(jié)合了 CMOS 的高速和高密度技術(shù)及 CMOS的低功耗特征,它基于標(biāo)準(zhǔn)的 MCS-51 單片機體系結(jié)構(gòu)和指令系統(tǒng),屬于 89C51增強型單

17、片機版本,集成了時鐘輸出和向上或向下計數(shù)器等更多的功能,適合于類似馬達控制等應(yīng)用場合。89C52 內(nèi)置 8 位中央處理單元、512 字節(jié)內(nèi)部數(shù)據(jù)存儲器 RAM、8k 片內(nèi)程序存儲器(ROM)32 個雙向輸入/輸出(I/O)口、3 個 16位定時/計數(shù)器和 5 個兩級中斷結(jié)構(gòu),一個全雙工串行通信口,片內(nèi)時鐘振蕩電路。此外,89C52 還可工作于低功耗模式,可通過兩種軟件選擇空閑和掉電模式。在空閑模式下凍結(jié) CPU 而 RAM 定時器、串行口和中斷系統(tǒng)維持其功能。掉電模式下,保存 RAM 數(shù)據(jù),時鐘振蕩停止,同時停止芯片內(nèi)其它功能。圖 3-11 P0 口外接排阻單片機課程設(shè)計說明書圖 3-12 最

18、小系統(tǒng)電路圖如圖 3-12 為單片機最小系統(tǒng),硬件最小系統(tǒng):由電源、主板和 CPU、內(nèi)存組成.在這個系統(tǒng)中,沒有任何信號線的連接,只有電源到主板的電源連接.由于設(shè)計本電路圖所使用的軟件為 Protues,且電源和電源地腳為自動隱藏所以電源部分也是在其中的。如圖 3-11 所示。51 單片機的 P0 端口為開漏輸出,內(nèi)部無上拉電阻。所以在當(dāng)做普通 I/O 輸出數(shù)據(jù)時,由于 V2 截止,輸出級是漏極開路電路,要使“1”信號(即高電平)正常輸出,必須外接上拉電阻。圖 3-13單片機課程設(shè)計說明書在單片機系統(tǒng)中,復(fù)位電路是非常關(guān)鍵的,當(dāng)程序跑飛(運行不正常)或死機(停止運行)時,就需要進行復(fù)位。MCS

19、-5l 系列單片機的復(fù)位引腳RST(第 9 管腳)出現(xiàn) 2 個機器周期以上的高電平時,單片機就執(zhí)行復(fù)位操作。如果 RST 持續(xù)為高電平,單片機就處于循環(huán)復(fù)位狀態(tài)。復(fù)位操作通常有兩種基本形式:上電自動復(fù)位和開關(guān)復(fù)位。圖 3-13 中所示的復(fù)位電路就包括了這兩種復(fù)位方式。上電瞬間,電容兩端電壓不能突變,此時電容的負極和 RESET 相連,電壓全部加在了電阻上,RESET 的輸入為高,芯片被復(fù)位。隨之+5V 電源給電容充電,電阻上的電壓逐漸減小,最后約等于 0,芯片正常工作。并聯(lián)在電容的兩端為復(fù)位按鍵,當(dāng)復(fù)位按鍵沒有被按下的時候電路實現(xiàn)上電復(fù)位,在芯片正常工作后,通過按下按鍵使 RST 管腳出現(xiàn)高電

20、平達到手動復(fù)位的效果。一般來說,只要 RST 管腳上保持 10ms 以上的高電平,就能使單片機有效的復(fù)位。圖中所示的復(fù)位電阻和電容為經(jīng)典值,實際制作是可以用同一數(shù)量級的電阻和電容代替,讀者也可自行計算 RC 充電時間或在工作環(huán)境實際測量,以確保單片機的復(fù)位電路可靠。3.1.2 數(shù)據(jù)的輸入鑒于單片機的 I/O 口的限制,本次選用矩陣鍵盤的輸入,如下圖所示:圖 3-21 矩陣鍵盤單片機課程設(shè)計說明書陣式鍵盤由行線和列線組成,按鍵位于行、列的交叉點上。當(dāng)鍵被按下時,其交點的行線和列線接通,相應(yīng)的行線或列線上的電平發(fā)生變化,單片機通過檢測行或列線上的電平變化可以確定哪個按鍵被按下。矩陣鍵盤不僅在連接上

21、比單獨式按鍵復(fù)雜,它的按鍵識別方法也比單獨式按鍵復(fù)雜。矩陣鍵盤的檢測方法有多種,常見的有:逐點掃描法、逐行掃描法、全局掃描法。在本實例中我們采用逐行掃描法來實現(xiàn)按鍵檢測,其中 P3.0-P3.3 作為行線,P3.4-P3.7 作為列線。識別過程如下1、判斷鍵盤中是否有鍵按下。設(shè)置所有行線為輸出口,并輸出低電平;設(shè)置列線為輸入口,讀取列線上的電平狀態(tài),只要有一列的電平為低,就表示有按鍵按下,并且被按下的鍵位于電平為低的列線與 4 跟行線相交叉的 4 個按鍵中,若所有列線都為高電平,表示沒有按鍵按下;2、判斷被按下按鍵所在的位置。在確認有鍵按下后(進行按鍵消抖處理后),接下來就是確定具體哪個案件被

22、按下,方法是:依次將每根行線設(shè)置為輸出口,并輸出低電平(同時剩余行線輸出高電平),然后逐列檢查每根列線的電平狀態(tài),若某列為低電平,則該列線與設(shè)置為輸出低電平的行線交叉處的按鍵就是被按下的按鍵。3、按鍵位置確定后,接下來就要給矩陣鍵盤中的每個按鍵進行編號,也就是進行按鍵編碼,程序設(shè)計中常用計算法和查表法兩種方式對按鍵進行編碼。,程序設(shè)計中常用計算法和查表法兩種方式對按鍵進行編碼。本實例采用計算法編碼。從上面的電路圖中我們可以看到,鍵盤的所有行線接到了 P3.0-P3.3 口,51 單片機上電后為高電平,這是確保在沒有按鍵按下的時候,I/O 口的電平狀態(tài)始終為高電平,從而消除外界干擾。對于 51

23、單片機來說,我們可以將 P3.4-P3.7 口分別不同置為低電平,當(dāng)有按鍵按下時可以比較 P3.0-P3.7 的壓值,則可以得出是哪個鍵按下并且可以賦予相應(yīng)的值。并可以讀入單片機中,繼續(xù)相應(yīng)的操作。3.23.2 數(shù)據(jù)的輸出和顯示數(shù)據(jù)的輸出和顯示單片機課程設(shè)計說明書在日常生活中,我們對液晶顯示器并不陌生。液晶顯示模塊已作為很多電子產(chǎn)品的通過器件,如在計算器、萬用表、電子表及很多家用電子產(chǎn)品中都可以看到,顯示的主要是數(shù)字、專用符號和圖形。在單片機的人機交流界面中,一般的輸出方式有以下幾種:發(fā)光管、LED 數(shù)碼管、液晶顯示器。發(fā)光管和 LED數(shù)碼管比較常用,軟硬件都比較簡單,在前面章節(jié)已經(jīng)介紹過,在

24、此不作介紹,本章重點介紹字符型液晶顯示器的應(yīng)用。在單片機系統(tǒng)中應(yīng)用晶液顯示器作為輸出器件有以下幾個優(yōu)點:顯示質(zhì)量高,由于液晶顯示器每一個點在收到信號后就一直保持那種色彩和亮度,恒定發(fā)光,而不像陰極射線管顯示器(CRT)那樣需要不斷刷新新亮點。因此,液晶顯示器畫質(zhì)高且不會閃爍。數(shù)字式接口,液晶顯示器都是數(shù)字式的,和單片機系統(tǒng)的接口更加簡單可靠,操作更加方便。體積小、重量輕液晶顯示器通過顯示屏上的電極控制液晶分子狀態(tài)來達到顯示的目的,在重量上比相同顯示面積的傳統(tǒng)顯示器要輕得多。功耗低相對而言,液晶顯示器的功耗主要消耗在其內(nèi)部的電極和驅(qū)動 IC 上,因而耗電量比其它顯示器要少得多。圖 3-2 LCD

25、1602 顯示電路單片機課程設(shè)計說明書如圖 3-21 所示,本次設(shè)計采用的是 LCD1602,工業(yè)字符型液晶,能夠同時顯示 16x02 即 32 個字符。 (16 列 2 行)注:為了表示的方便 ,后文皆以 1 表示高電平,0 表示低電平。1602 液晶也叫 1602 字符型液晶,它是一種專門用來顯示字母、數(shù)字、符號等的點陣型液晶模塊。它由若干個 5X7 或者 5X11 等點陣字符位組成,每個點陣字符位都可以顯示一個字符,每位之間有一個點距的間隔,每行之間也有間隔,起到了字符間距和行間距的作用,正因為如此所以它不能很好地顯示圖形(用自定義 CGRAM,顯示效果也不好) 。1602LCD 是指顯

26、示的內(nèi)容為 16X2,即可以顯示兩行,每行 16 個字符液晶模塊(顯示字符和數(shù)字) 。市面上字符液晶大多數(shù)是基于 HD44780 液晶芯片的,控制原理是完全相同的,因此基于 HD44780 寫的控制程序可以很方便地應(yīng)用于市面上大部分的字符型液晶。1602 采用標(biāo)準(zhǔn)的 16 腳接口,其中:第 1 腳:GND 為電源地第 2 腳:VCC 接 5V 電源正極第 3 腳:V0 為液晶顯示器對比度調(diào)整端,接正電源時對比度最弱,接地電源時對比度最高(對比度過高時會 產(chǎn)生“鬼影” ,使用時可以通過一個 10K 的電位器調(diào)整對比度) 。第 4 腳:RS 為寄存器選擇,高電平 1 時選擇數(shù)據(jù)寄存器、低電平 0

27、時選擇指令寄存器。第 5 腳:RW 為讀寫信號線,高電平(1)時進行讀操作,電平(0)時進行寫操作。第 6 腳:E(或 EN)端為使能(enable)端,高電平(1)時讀取信息,負跳變時執(zhí)行指令。第 714 腳:D0D7 為 8 位雙向數(shù)據(jù)端。第 1516 腳:空腳或背燈電源。15 腳背光正極,16 腳背光負極。特性:3.3V 或 5V 工作電壓,對比度可調(diào)內(nèi)含復(fù)位電路提供各種控制命令,如:清屏、字符閃爍、光標(biāo)閃爍、顯示移位等多種功能有 80字節(jié)顯示數(shù)據(jù)存儲器DDRAM內(nèi)建有 192 個 5X7點陣的字型的字符發(fā)生器CGROM8 個可由用戶自定義的 5X7 的字符發(fā)生器 CGRAM單片機課程設(shè)

28、計說明書1602 液晶模塊內(nèi)部的字符發(fā)生存儲器(CGROM)已經(jīng)存儲了 160 個不同的點陣字符圖形,這些字符有:阿拉伯?dāng)?shù)字、英文字母的大小寫、常用的符號、和日文假名等,每一個字符都有一個固定的代碼,比如大寫的英文字母“A”的代碼是 01000001B(41H) ,顯示時模塊把地址 41H 中的點陣字符圖形顯示出來,我們就能看到字母“A” 。在單片機編程中還可以用字符型常量或變量賦值,如A 。因為 CGROM 儲存的字符代碼與我們 PC 中的字符代碼是基本一致的,因此我們在向 DDRAM 寫 C51字符代碼程序時甚至可以直接用 P1=A這樣的方法。PC 在編譯時就把A先轉(zhuǎn)換為 41H 代碼了。

29、CGROM 中字符碼與字符字模關(guān)系對照表單片機課程設(shè)計說明書字符代碼 0 x000 x0F 為用戶自定義的字符圖形 RAM(對于 5X8 點陣的字符,可以存放 8 組,5X10 點陣的字符,存放 4 組),就是 CGRAM 了。0 x200 x7F 為標(biāo)準(zhǔn)的 ASCII 碼,0 xA00 xFF 為日文字符和希臘文字符,其余字符碼(0 x100 x1F 及 0 x800 x9F)沒有定義。以下是 1602 的 16 進制 ASCII 碼表地址:讀的時候,先讀左邊那列,再讀上面那行,如:感嘆號!的 ASCII 為 0 x21,字母 B 的 ASCII 為 0 x42(前面加0 x 表示十六進制)

30、 。本設(shè)計將 1602 的數(shù)據(jù)/命令端接到單片機的 P1.5 端,使能端接到 P1.4 口,讀寫端直接接地,本次使用只用其寫的功能。1602 的數(shù)據(jù)端接到單片機的 P0 端。通過程序即可將單片機從矩陣鍵盤讀入的數(shù)據(jù)顯示到1602 上面。3.33.3 報警電路報警電路 本次設(shè)計使用的蜂鳴器作為警報裝置,電路圖如下:圖 3-3 報警電路單片機課程設(shè)計說明書由于自激蜂鳴器是直流電壓驅(qū)動的,不需要利用交流信號進行驅(qū)動,只需對驅(qū)動口輸出驅(qū)動電平并通過三極管放大驅(qū)動電流就能使蜂鳴器發(fā)出聲音,很簡單,這里就不對自激蜂鳴器進行說明了。這里只對必須用 1/2duty 的方波信號進行驅(qū)動的他激蜂鳴器進行說明。單片

31、機驅(qū)動他激蜂鳴器的方式有兩種:一種是 PWM 輸出口直接驅(qū)動,另一種是利用 I/O 定時翻轉(zhuǎn)電平產(chǎn)生驅(qū)動波形對蜂鳴器進行驅(qū)動。PWM 輸出口直接驅(qū)動是利用 PWM 輸出口本身可以輸出一定的方波來直接驅(qū)動蜂鳴器。在單片機的軟件設(shè)置中有幾個系統(tǒng)寄存器是用來設(shè)置 PWM 口的輸出的,可以設(shè)置占空比、周期等等,通過設(shè)置這些寄存器產(chǎn)生符合蜂鳴器要求的頻率的波形之后,只要打開 PWM 輸出,PWM 輸出口就能輸出該頻率的方波,這個時候利用這個波形就可以驅(qū)動蜂鳴器了。比如頻率為 2000Hz 的蜂鳴器的驅(qū)動,可以知道周期為 500s,這樣只需要把 PWM 的周期設(shè)置為 500s,占空比電平設(shè)置為 250s,

32、就能產(chǎn)生一個頻率為 2000Hz 的方波,通過這個方波再利用三極管就可以去驅(qū)動這個蜂鳴器了。而利用 I/O 定時翻轉(zhuǎn)電平來產(chǎn)生驅(qū)動波形的方式會比較麻煩一點,必須利用定時器來做定時,通過定時翻轉(zhuǎn)電平產(chǎn)生符合蜂鳴器要求的頻率的波形,這個波形就可以用來驅(qū)動蜂鳴器了。比如為 2500Hz 的蜂鳴器的驅(qū)動,可以知道周期為 400s,這樣只需要驅(qū)動蜂鳴器的 I/O 口每 200s 翻轉(zhuǎn)一次電平就可以產(chǎn)生一個頻率為 2500Hz,占空比為 1/2duty 的方波,再通過三極管放大就可以驅(qū)動這個蜂鳴器了。本次設(shè)計采用的是通過三極管來驅(qū)動蜂鳴器,并且有單片機延時產(chǎn)生脈沖電流來讓蜂鳴器實現(xiàn)報警功能。3.43.4

33、硬件總體電路圖硬件總體電路圖本次設(shè)計采用 89c52 單片機作為主控芯片,結(jié)合矩陣鍵盤,蜂鳴器電路,LCD1602 顯示,做成的簡易密碼鎖設(shè)計的總電路圖如下所示:單片機課程設(shè)計說明書圖 3-4單片機課程設(shè)計說明書第四章第四章 仿真與調(diào)試仿真與調(diào)試4.14.1 程序流程程序流程本次設(shè)計的總體思路為:開機后有 1602 顯示電路提示輸入密碼,密碼初始化為“0123”,然后可以通過矩陣鍵盤輸入,并通過 1602 顯示所輸入的密碼的內(nèi)容,當(dāng)密碼輸入 4 位后,自動進入比較函數(shù),如果密碼正確則通過 1602 顯示“Welcome back”,如果密碼輸入錯誤,則再次顯示輸入密碼,并且記錯一次,記錯達到三

34、次后,開始報警,并且輸入無效。修改密碼設(shè)置獨立按鍵,按下后可以改密碼,輸入 4 位密碼后自動保存,由于本設(shè)計沒有用到掉電后數(shù)據(jù)不丟失的存儲芯片,所以修改后只有在程序運行時有效。程序流程圖如下:是否否是否是否 圖 4-1 程序流程圖開始修改密碼接受新密碼密碼是否正確檢測是否有輸入密碼密碼鎖開啟錯誤次數(shù)相加次數(shù)是否3報警且其它輸入無效單片機課程設(shè)計說明書4.24.2 仿真結(jié)果仿真結(jié)果當(dāng)開始仿真時則 1602 液晶顯示為“pass word!”如下圖 4-21 所示:圖 4-21 仿真開始顯示其中液晶顯示后面【1】 ,代表第幾次輸入。當(dāng)密碼輸入正確時仿真結(jié)果如圖 4-22:圖 4-22 密碼輸入正確

35、單片機課程設(shè)計說明書當(dāng)密碼輸入錯誤時,則再次顯示輸入密碼,并且液晶后面的數(shù)字顯示會加1,如圖 4-23 所示:圖 4-23 密碼輸入錯誤時當(dāng)輸入密碼連續(xù)錯 3 次后,則會報警,如圖 4-24:圖 4-24 報警仿真單片機課程設(shè)計說明書當(dāng)按下修改密碼鍵的時候,液晶則會顯示修改密碼,并且顯示你所修改的密碼,如圖 4-25 所示:圖 4-25 修改密碼仿真密碼修改成功后,回到輸入密碼界面。單片機課程設(shè)計說明書第五章第五章 總結(jié)總結(jié)通過此次課程設(shè)計,使我更加扎實的掌握了有關(guān)單片機方面的知識,在設(shè)計過程中雖然遇到了一些問題,但經(jīng)過一次又一次的思考,一遍又一遍的檢查終于找出了原因所在,也暴露出了前期我在這

36、方面的知識欠缺和經(jīng)驗不足。實踐出真知,通過親自動手制作,使我們掌握的知識不再是紙上談兵。過而能改,善莫大焉。在課程設(shè)計過程中,我們不斷發(fā)現(xiàn)錯誤,不斷改正,不斷領(lǐng)悟,不斷獲齲最終的檢測調(diào)試環(huán)節(jié),本身就是在踐行“過而能改,善莫大焉”的知行觀。這次課程設(shè)計終于順利完成了,在設(shè)計中遇到了很多問題,最后在老師的指導(dǎo)下,終于游逆而解。在今后社會的發(fā)展和學(xué)習(xí)實踐過程中,一定要不懈努力,不能遇到問題就想到要退縮,一定要不厭其煩的發(fā)現(xiàn)問題所在,然后一一進行解決,只有這樣,才能成功的做成想做的事,才能在今后的道路上劈荊斬棘,而不是知難而退,那樣永遠不可能收獲成功,收獲喜悅,也永遠不可能得到社會及他人對你的認可!課

37、程設(shè)計誠然是一門專業(yè)課,給我很多專業(yè)知識以及專業(yè)技能上的提升,同時又是一門講道課,一門辯思課,給了我許多道,給了我很多思,給了我莫大的空間。同時,設(shè)計讓我感觸很深。使我對抽象的理論有了具體的認識。通過這次課程設(shè)計,我掌握了常用元件的識別和測試;熟悉了常用儀器、儀表;了解了電路的連線方法;以及如何提高電路的性能等等,掌握了焊接的方法和技術(shù),通過查詢資料,也了解了密碼鎖的構(gòu)造及原理。我認為,在這一周的課設(shè)時間,不僅培養(yǎng)了獨立思考、動手操作的能力,在各種其它能力上也都有了提高。更重要的是,在實踐中,我們學(xué)會了很多學(xué)習(xí)的方法。而這是日后最實用的,真的是受益匪淺。要面對社會的挑戰(zhàn),只有不斷的學(xué)習(xí)、實踐,

38、再學(xué)習(xí)、再實踐。這對于我們的將來也有很大的幫助。以后,不管有多苦,我想我們都能變苦為樂,找尋有趣的事情,發(fā)現(xiàn)其中珍貴的事情。就像中國提倡的艱苦奮斗一樣,我們都可以在實驗結(jié)束之后變的更加成熟,會面對需要面對的事情?;仡櫰鸫苏n程設(shè)計,至今我仍感慨頗多,從理論到實踐,在這段日子里,可以說得是苦多于甜,但是可以學(xué)到很多很多的東西,同時不僅可以鞏固了以前所學(xué)過的知識,而且學(xué)到了很多在書本上所沒有學(xué)到過的知識。通過這次課單片機課程設(shè)計說明書程設(shè)計使我懂得了理論與實際相結(jié)合是很重要的,只有理論知識是遠遠不夠的,只有把所學(xué)的理論知識與實踐相結(jié)合起來,從理論中得出結(jié)論,才能真正為社會服務(wù),從而提高自己的實際動手

39、能力和獨立思考的能力。在設(shè)計的過程中遇到問題,可以說得是困難重重,但可喜的是最終都得到了解決。本次設(shè)計讓我認識到了,做事不能馬虎,一件事的全部可能問題就出現(xiàn)在某一點上面。在本次課程設(shè)計中,由于時間較短,來不及搭建硬件,就使用了開發(fā)板進行程序調(diào)試,由于開發(fā)板的端口限制,矩陣鍵盤和液晶顯示的控制有相同的端口,這就導(dǎo)致有些功能完成不了,例如在調(diào)試用液晶顯示輸入的密碼時,由于同時使用的 P3.4 和 P3.5,導(dǎo)致了輸入密碼是液晶無法顯示輸入的東西,并且按鍵按下時液晶的光標(biāo)也亂跑。由于我的粗心,沒有仔細想這個原因,就在程序的時序語句上修改,結(jié)果修改的半天也是沒有進展白白的浪費了時間。后來仔細思考才發(fā)現(xiàn)

40、是端口共用的結(jié)果,于是我就把液晶的端口改到別的地方去了。再調(diào)試程序,當(dāng)然是符合自己的想法了。此次設(shè)計也讓我明白了思路即出路,有什么不懂不明白的地方要及時請教或上網(wǎng)查詢,只要認真鉆研,動腦思考,動手實踐,就沒有弄不懂的知識,收獲頗豐。單片機課程設(shè)計說明書參考文獻參考文獻1 、郭天祥主編,51 單片機 C 語言教程,電子工業(yè)出版社。2009.12、丁元杰主編.單片微型計算機.北京:機械工業(yè)出版社.20033、李廣第主編.單片機基礎(chǔ).北京:北京航天工業(yè)出版社.20024、單片機原理及應(yīng)用,張鑫等,電子工業(yè)出版社 5、MCS51 單片機應(yīng)用設(shè)計,張毅剛等,哈爾濱工業(yè)大學(xué) 6、MCS51 系列單片機實用

41、接口技術(shù),李華等,北京航天航空大學(xué)7、PROTEL2004 電路原理圖及 PCB 設(shè)計,清源科技,機械工業(yè)出版社 8、基于 MCS-51 系列單片機的通用控制模塊的研究,曹衛(wèi)芳,山東科技大學(xué),9、單片機應(yīng)用技術(shù)選編,何立民,北京航空航天大學(xué)出版社,2000單片機課程設(shè)計說明書附錄附錄程序代碼:主程序(密碼鎖.c):#include #define uc unsigned char#define ui unsigned int#include key.c#include yejing.c#includehead.hsbit beep=P23;sbit key=P20;uc code table1

42、=pass word!;uc table4=0,1,2,3 ;/密碼uc table2=Welcom back!;uc table4=ALARM!;uc table5=chang mima!; uc m,ronum,flag,mitu,miro;void chushi() write_zhiling(0 x80+2);for(m=0;m10;m+)write_date(table1m);delay(5);write_date();write_date(table3ronum+1);write_date();單片機課程設(shè)計說明書write_zhiling(0 x0e);write_zhiling

43、(0 x80+40);void alrm()beep=0;delay(500);beep=1;delay(500);void bijiao()if(mima0=table0&mima1=table1&mima2=table2&mima3=table3)mitu=1;elsemiro=1;ronum+;P1=0 xff;void panduan() /輸入密碼if(ronum3)if(a4)/密碼位數(shù)keyscan();flag=1;單片機課程設(shè)計說明書else if(flag=1)bijiao(); /比較密碼flag=0;if(mitu=1) /密碼正確write_z

44、hiling(0 x01); /清屏delay(50);write_zhiling(0 x80+2);for(m=0;m=3)write_zhiling(0 x01); /錯誤超過三次,警報write_zhiling(0 x80+4);for(m=0;m6;m+)write_date(table4m);delay(5);alrm(); void change() /修改密碼if(b4) keyscan();else /修改成功xiugai=0;write_zhiling(0 x01);csh();chushi();單片機課程設(shè)計說明書void main()csh();chushi();while(1)if(key=0) /修改密碼鍵delay(10);if(key=0)xiugai=1;/修改判斷while(!key);write_zhiling(0 x01);write_zhiling(0 x80+2);for(m=0;m0;y-)for(z=110;z0;z-);uc keyscan()uc num,temp;P3=0 xfe;temp=P3;temp=temp&0 xf0;while(temp!=0 xf0)delay(5);temp=P3;

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論