FIR數(shù)字濾波器的優(yōu)化設(shè)計_第1頁
FIR數(shù)字濾波器的優(yōu)化設(shè)計_第2頁
FIR數(shù)字濾波器的優(yōu)化設(shè)計_第3頁
FIR數(shù)字濾波器的優(yōu)化設(shè)計_第4頁
FIR數(shù)字濾波器的優(yōu)化設(shè)計_第5頁
已閱讀5頁,還剩38頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、FIR數(shù)字濾波器的優(yōu)化設(shè)計學(xué)生:趙然然,信息系指導(dǎo)教師:李永全,信息系摘要FIR數(shù)字濾波器在保證幅度特性滿足技術(shù)要求的同時,又很容易做到有嚴(yán)格的線性相位特性,故FIR數(shù)字濾波器用得很多,因而研究FIR數(shù)字濾波器的優(yōu)化設(shè)計具有重要的理論意義。本文介紹FIR數(shù)字濾波器的原理,并在此基礎(chǔ)上利用加權(quán)平方誤差最小準(zhǔn)則、等波紋切比雪夫逼近和最小二乘法對FIR濾波器進行優(yōu)化設(shè)計。在每種設(shè)計方法中,都對原理、設(shè)計要求、設(shè)計步驟、選擇特性、最優(yōu)處理以及相關(guān)工具函數(shù)等進行了詳細(xì)介紹。同時,采用MATLAB軟件對FIR數(shù)字濾波器進行設(shè)計,簡化了設(shè)計中繁瑣的計算,而通過濾波前后信號的頻譜圖的對比,分析不同濾波器的濾波

2、效果,從而更快的得到優(yōu)化設(shè)計方法。關(guān)鍵詞FIR數(shù)字濾波器 優(yōu)化設(shè)計方法加權(quán)平方誤差最小準(zhǔn)則 等波紋切比雪夫逼近 最小二乘法 Optimum Design for FIR Digital FilterStudent:Zhao Ranran, Information DepartmentInstructor:Li Yongquan, Information DepartmentAbstractFIR digital filter ensure the range characteristics and meet the technical requirements at the same time

3、,and it is easy to do a strict linear phase characteristic,so it is used much more.It is meaningful to research the optimum design for FIR digital filter. This paper introduced the principle of the FIR filter,and used weighed least2squares error criterion, equivalent ripple Chebyshev approximation a

4、nd the least squares method to carry on the optimized design to the FIR filter. Every kind of design method are explained in detail about its requirements, steps, select properties and optimal treatment. Designing the FIR filter by Matlab can simplify the complicated computation in simulation,and co

5、mparing the signals spectrum viewers and the sound files which have been generated to analyzing the filtering effect of different digital filters,and gaining the optimization techniques faster.KeywordsFIR digital filter optimization techniques weighed least2squares error criterionequivalent ripple C

6、hebyshev approximationleast squares method前言數(shù)字濾波器(digital filter)是由數(shù)字乘法器、加法器和延時單元組成的一種裝置。MATLAB是第四代計算機語言,是目前公認(rèn)的國際上最流行的科學(xué)與工程計算的軟件工具。隨著科技和新興技術(shù)的發(fā)展,數(shù)字濾波在圖像處理、語音識別和模式識別等 數(shù)字信號處理中占有越來越重要的地位。與模擬濾波器相比,數(shù)字濾波器可以滿足濾波器幅度和相位特性的嚴(yán)格要求,可以克服模擬濾波器所無法克服的電壓漂移、溫度漂移和噪聲等問題。有限沖激響應(yīng)(FIR)濾波器可以保證嚴(yán)格的線性相位。同時由于其實現(xiàn)結(jié)構(gòu)主要是非遞歸的,因此 FIR 濾波

7、器可以穩(wěn)定工作。 FIR 濾波器被廣泛用于各類數(shù)字信號處理系統(tǒng)中實現(xiàn)卷積、相關(guān)、自適應(yīng)濾波、正交插值等處理,對于非實時系統(tǒng)和低速采樣系統(tǒng),FIR 濾波器的運算可在 CPU 或 DSP 處理器上采用軟件實現(xiàn)。而由于它越來越重要的地位,本文將對其進行一些簡要的介紹,并通過 MATLAB來實現(xiàn) FIR 數(shù)字濾波器的優(yōu)化,使FIR 數(shù)字濾波器設(shè)計精度提高,簡化其設(shè)計過程,而通過這一系列的介紹和實驗,讓我們進一步的理解和掌握 FIR 數(shù)字濾波器。研究的目的和意義FIR數(shù)字濾波器的優(yōu)化設(shè)計1 研究的目的和意義1.1 研究的目的 與模擬濾波器相比,數(shù)字濾波器除了具有數(shù)字信號處理固有優(yōu)點外,還有濾波精度高、穩(wěn)

8、定性好、靈活性強等優(yōu)點。FIR數(shù)字濾波器是數(shù)字信號處理的基礎(chǔ),用來對信號進行過濾,檢測與參數(shù)估計等處理,利用數(shù)字濾波器可改變信號中所含頻率分量的相對比例或濾除某些頻率分量,使其達(dá)到所需要的效果。它在通信、語言,圖像、自動控制、雷達(dá),軍事、航空航天、醫(yī)療和家用電器等眾多領(lǐng)域得到了廣泛的應(yīng)用。尤其在圖像處理、數(shù)據(jù)壓縮等方面取得了令人矚目的進展和成就。FIR濾波器可以得到嚴(yán)格的線性相位,但它的傳遞函數(shù)的極點固定在原點,只能通過改變零點位置來改變性能,為了達(dá)到高的選擇性,必須用較高的階數(shù),對于同樣的濾波器設(shè)計指標(biāo),FIR濾波器要求的階數(shù)可能比IIR濾波器高510倍。通過本次設(shè)計,完成FIR數(shù)字濾波器設(shè)

9、計的優(yōu)化,達(dá)到提高設(shè)計精度 ,簡化編程過程,降低濾波器成本等目的。1.2 研究的意義 大部分工程技術(shù)領(lǐng)域中都會涉及到信號的處理問題,其信號表現(xiàn)形式有電、磁、機械以及熱、光、聲等。信號處理的目的一般是對信號進行分析、變換、綜合、估值與識別等。如何在較強的噪聲背景下提取出真正的信號或信號的特征,并將其應(yīng)用于工程實際是信號處理的首要任務(wù)。數(shù)字信號處理中一個非常重要且應(yīng)用普遍的技術(shù)就是數(shù)字濾波。數(shù)字濾波器有FIR數(shù)字濾波器和IIR數(shù)字濾波器,IIR數(shù)字濾波器的設(shè)計方法是利用模擬濾波器成熟的理論及設(shè)計圖表進行設(shè)計的,因而保留了一些典型模擬濾波器優(yōu)良的幅度特性,但設(shè)計中只考慮了幅度特性,沒考慮相位特性,所

10、設(shè)計的濾波器一般是某種確定的非線性相位特性。為了得到線性相位特性,對IIR濾波器必須另外加相位校正網(wǎng)絡(luò),使濾波器設(shè)計變得復(fù)雜,成本也高,又難以得到嚴(yán)格的線性相位特性。而FIR濾波器在保證幅度特性,滿足技術(shù)要求的同時,很容易做到有嚴(yán)格的線性相位特性,故FIR數(shù)字濾波器用得很多。數(shù)字濾波技術(shù)是數(shù)字信號分析、處理技術(shù)的重要分支。無論是信號的獲取、傳輸,還是信號的處理和交換都離不開濾波技術(shù),它對信號安全可靠和有效靈活地傳輸是至第1頁(共30頁)FIR數(shù)字濾波器的優(yōu)化設(shè)計關(guān)重要的。在所有的電子系統(tǒng)中,使用最多技術(shù)最復(fù)雜的要算數(shù)字濾波器了。數(shù)字濾波器的優(yōu)劣直接決定產(chǎn)品的優(yōu)劣,因而研究FIR數(shù)字濾波器的優(yōu)化

11、設(shè)計具有重要的理論意義。2 FIR數(shù)字濾波器的基本概念2.1 濾波器簡介在通信這個大背景之下,信號在傳輸?shù)倪^程中會受到很多因素的影響,例如多徑衰落,噪音干擾,遠(yuǎn)近效應(yīng),甚至只是簡單的電平衰落。這些復(fù)雜的環(huán)境,會嚴(yán)重干涉信號的正常傳輸。對某些系統(tǒng)而言,如果不對自然傳輸?shù)男盘柤右蕴幚恚瑫沟糜行畔a(chǎn)生較大的誤差。所以,如何保證信息傳輸?shù)挠行裕蔀樾畔⑻幚淼囊粋€關(guān)鍵問題。濾波器就是這個環(huán)節(jié)中非常重要的一個組成部分。它的作用就是阻隔干擾信號,無用信號,使之相對有用信號更大幅度的衰減,最大可能實現(xiàn)濾波,達(dá)到系統(tǒng)要求的理論理想效果。2.1.1 濾波器的實現(xiàn)濾波器可以是軟件也可以是硬件。除去濾波的特別作

12、用不談,其實它也只被看做一個信號處理的系統(tǒng)。站在系統(tǒng)的角度,在設(shè)計中需要考慮很多因素,例如穩(wěn)定性等。設(shè)計的方法,也基于信號與系統(tǒng)的理論前提。伴隨數(shù)字系統(tǒng)的優(yōu)勢逐日顯露,實際應(yīng)用中的濾波器大多也是數(shù)字濾波器,它可以用以下函數(shù)來概括:(1)簡單來看,數(shù)字濾波器的功能,就是把輸入序列x (n)通過一定的函數(shù)運算變換成輸出序列y (n),滿足相關(guān)映射關(guān)系。實際設(shè)計中,需要先明確濾波器的使用要求,然后確定濾波器的技術(shù)指標(biāo),從而開始具體設(shè)計。歸納起來,濾波器實現(xiàn)的過程包括四個一般步驟:確定逼近函數(shù):設(shè)計能夠滿足理想技術(shù)指標(biāo)的轉(zhuǎn)換函數(shù)。實現(xiàn)方程:將轉(zhuǎn)換函數(shù)對應(yīng)為濾波網(wǎng)絡(luò)中對數(shù)字序列進行運算的方程或相應(yīng)的系數(shù)

13、向量組。第2頁(共30頁)FIR數(shù)字濾波器的基本概念研究缺陷:研究實際中的非理想因素的影響,如采樣值是否超出存儲的有限字長等問題。并做出相應(yīng)的改進或轉(zhuǎn)變,以求最大程度的逼近理想效果。產(chǎn)品實現(xiàn):使用一定的硬件設(shè)備,例如DSP處理器甚至是普通計算機,能夠滿足專用運算來構(gòu)建濾波器,它的優(yōu)點是可以進行實時的處理。當(dāng)然也可以直接通過軟件和專用的數(shù)字信號處理芯片來實現(xiàn)。這與實際背景有關(guān)。本文的研究討論只涉及到濾波器逼近函數(shù)的相關(guān)內(nèi)容,從理論上認(rèn)識和探討一系列逼近函數(shù)和對應(yīng)理想濾波器的差距。利用MATLAB仿真平臺實現(xiàn)設(shè)計分析,達(dá)到認(rèn)識和總結(jié)的目的。2.1.2 濾波器的分類濾波器種類繁多,不同的角度也會造成

14、分類方法的不同??梢詮墓δ?、實現(xiàn)方法或者是設(shè)計方法上來分類等。大方向上,濾波器可以分為模擬濾波器和數(shù)字濾波器。在模擬濾波器的設(shè)計過程中可能更多的涉及到電阻,電容,電感等元器件的應(yīng)用;他們分別針對模擬系統(tǒng)和數(shù)字系統(tǒng)。實際中數(shù)字濾波器的應(yīng)用相對廣泛。從濾波效果來分:能通過的信號頻段可以分為低通(Lowpass)、高通(Highpass)、帶通(Bandpass)和帶阻濾波器(Bandstop)四種。從硬件組成和應(yīng)用來看,濾波器種類繁多,比如有源濾波器,自適應(yīng)濾波器、復(fù)數(shù)濾波器以及多維濾波器等。總的來講還可以分為兩大類,就是經(jīng)典濾波器和現(xiàn)代濾波器。如果信號和噪聲處于不同的頻帶,則濾波器只要具有較好的

15、濾波選頻特性就可以達(dá)到理想的效果。但是如果信號噪聲并沒有從頻率上區(qū)分開來,傳統(tǒng)的選頻濾波器就無能為力了。另一類通過對隨機信號的統(tǒng)計特性進行濾波的現(xiàn)代濾波器就可以滿足這種要求。利用自相關(guān)函數(shù)和功率譜估計出來的信號可能比原來具有更高的性噪比。維納濾波器、卡爾曼濾波器、自適應(yīng)濾波器都屬于現(xiàn)代濾波器。本文介紹的濾波器無論是原理還是應(yīng)用上都只涉及到傳統(tǒng)經(jīng)典濾波器。面對選頻背景,主要從濾波的算法上尋優(yōu)。從實現(xiàn)方法上來看,數(shù)字濾波器還可以分為IIR和FIR,即無限單位沖激響應(yīng)濾波器和有限單位沖激響應(yīng)濾波器。FIR濾波器具有嚴(yán)格的線性相位特征,且始終穩(wěn)定,應(yīng)用相對比較廣泛。而IIR濾波器則用在相位要求不是很嚴(yán)

16、格的場合。此處簡要的分析一下IIR相對于FIR的優(yōu)勢。IIR濾波器相對而言,明顯的特點就是響應(yīng)無限。同第3頁(共30頁)FIR數(shù)字濾波器的優(yōu)化設(shè)計時它的極點可以處于任意位置,會引起系統(tǒng)的不穩(wěn)定。并且它有反饋回路。它的優(yōu)勢在于所要求的階數(shù)比FIR的低,同時可以借助模擬濾波器的研究成果,直接查表查圖,設(shè)計過程非常方便。2.1.3 采樣定理在討論的濾波器認(rèn)識之前,簡要介紹采樣定理。重要性在于它是數(shù)字信號理論研究方法的理論基礎(chǔ)。自然產(chǎn)生的信號一般都是連續(xù)的,如果選用數(shù)字系統(tǒng)(如計算機)來處理信號,就會涉及到數(shù)字信號和模擬信號的相互轉(zhuǎn)化。在模數(shù)轉(zhuǎn)化(A/D)之前,必須選擇采樣的周期和量化的電平數(shù)。選擇錯

17、誤會產(chǎn)生嚴(yán)重誤差并丟失有用信息。采樣定理給出了正確選擇采樣周期T的準(zhǔn)則。對頻率為的連續(xù)正弦信號采樣時,采樣定理要求采樣頻率應(yīng)大于的兩倍: (2)連續(xù)信號可以看做是由一個或多個正弦信號組成,假設(shè)其最高頻率是,如果采樣頻率是最高頻率的兩倍或兩倍以上,則正弦信號可以通過等間隔的樣值來唯一表示。當(dāng)采樣序列通過一個對高于的正弦信號有抑制作用的系統(tǒng)時,原始連續(xù)信號就可以由采樣序列重建。由上可知最小采樣頻率是,采樣頻率的一半被定義為奈奎斯特頻率(Nyquist frequency),也被叫做折疊頻率,它的最小值其實也就是。奈奎斯特頻率。如果抽樣信號的頻率小于最小抽樣頻率但大于奈奎斯特頻率,經(jīng)采樣成為離散信號

18、后,就有小于奈奎斯特的信號混入,混入頻率的出現(xiàn)就仿佛有兩個信號存在,一個頻率是,另一個是。在實際應(yīng)用中,通常選擇采樣頻率為信號頻率最大值的4倍。 利用采樣定理正確提取數(shù)字信號成為數(shù)字系統(tǒng)分析和實踐的基礎(chǔ)理論。2.2 FIR數(shù)字濾波器特點歸納FIR數(shù)字濾波器有以下幾個特點: FIR屬于數(shù)字濾波器,所以它具有數(shù)字濾波器所具備的一切通有優(yōu)點。例如精度高,靈活性可靠性強,能夠達(dá)到高性能的技術(shù)指標(biāo),便于時分復(fù)用,和大規(guī)模集成生產(chǎn)。第4頁(共30頁)FIR數(shù)字濾波器的基本概念在結(jié)構(gòu)上,它屬于非遞歸結(jié)構(gòu),沒有輸入到輸出的反饋。FIR濾波器響應(yīng)有限,利于編成,計算延遲相對較小。可以做到任意幅度的頻響特性。因為

19、有限,所以可以采用快速傅里葉變換。大大提高運算效率。故而實踐起來方便有效,成為一種非常常見的數(shù)字濾波器。FIR濾波器具有非常好的線性相位。FIR的極點只能在圓點,所以只能通過調(diào)節(jié)零點來調(diào)整濾波器性能。因為FIR濾波器響應(yīng)有限,且極點在單位圓內(nèi),所以一定穩(wěn)定。在一定的時延的背景下,任意的信號都可以變成有限長序列,所以總能用因果系統(tǒng)進行實現(xiàn)。FIR的階數(shù)要求可能是IIR濾波器的510倍,在這一點上增加了一定延遲。假設(shè)FIR數(shù)字濾波器的單位脈沖響應(yīng)h(n)為一個N點序列,0nN-1,則濾波器的系統(tǒng)函數(shù)為:H(z)=n=0N-1h(n)z-n (3)說明該系統(tǒng)有N-1階極點在z=0處,有N-1個零點位

20、于z平面。FIR數(shù)字濾波器有直接型、級聯(lián)型、線性相關(guān)型、快速卷積型和頻率采樣型等幾種結(jié)構(gòu)。2.3 FIR數(shù)字濾波器的網(wǎng)絡(luò)結(jié)構(gòu)2.3.1 直接型結(jié)構(gòu)直接型結(jié)構(gòu)又被稱為卷積型或橫截性。其系統(tǒng)的差分表達(dá)式為: (4)在結(jié)構(gòu)中,輸出y(n)是每個沿著這條鏈的抽頭信號由相應(yīng)的系數(shù)(脈沖響應(yīng))加權(quán),然后將所得乘積相加得到。設(shè)計方便簡單,所用的乘法器相對較少,使用最為普遍。直接型對其他參數(shù)的控制,例如,零點位置等,沒有其他結(jié)構(gòu)有優(yōu)勢。根據(jù)差分方程可以直接畫出系統(tǒng)的結(jié)構(gòu)信號流圖如圖2-1所示,該結(jié)構(gòu)稱為直接型結(jié)構(gòu),也稱為卷積型、橫截型結(jié)構(gòu)。第5頁(共30頁)FIR數(shù)字濾波器的優(yōu)化設(shè)計圖1 FIR濾波器的直接型

21、結(jié)構(gòu)直接型結(jié)構(gòu)的優(yōu)點:簡單直觀,乘法運算量較少。缺點:調(diào)整零點較難。 2.3.2 級聯(lián)型結(jié)構(gòu) 當(dāng)需要控制濾波器的傳輸零點時,可將H(z)分解為實系數(shù)二階因子的乘積形式:Hz=n=0N-1h(n)z-n=k=1N-12(0k+1kz-1+2kz-2) (5)上式中,Hz為h(n)的z變換,0k,1k,2k為實數(shù),N-12表示取的整數(shù)部分。當(dāng)N為偶數(shù)時,N-1為奇數(shù),除有N-12個階子系統(tǒng)外,還有一個一階子系統(tǒng),圖2給出了N為奇數(shù)時的級聯(lián)型結(jié)構(gòu)。圖2 FIR濾波器的級聯(lián)型結(jié)構(gòu)該結(jié)構(gòu)的優(yōu)點:調(diào)整零點比直接型方便。缺點:Hz中的系數(shù)比直接型多,因而需要的乘法器多。當(dāng)Hz的階次高時,也不易分解。 2.3

22、.3 線性相關(guān)型結(jié)構(gòu)FIR濾波器的線性相位結(jié)構(gòu)有偶對稱和奇對稱,不論h(n)為偶對稱還是奇對稱都有: 第6頁(共30頁)FIR數(shù)字濾波器的基本概念當(dāng)N為偶數(shù)時,系統(tǒng)函數(shù)為: å-=-±= Hz=n=0(N2)-1h(n)z-n+z-N-1-n (6)當(dāng)N為奇數(shù)時,系統(tǒng)函數(shù)為: Hz=n=0(N2)-1h(n)z-n+z-N-1-n+hN-12z-N-12 (7)對這兩種情況,都可以用FIR直接型實現(xiàn),其信號流圖如圖3所示。(a)N為偶數(shù)(b)N為奇數(shù)圖3 線性相位型結(jié)構(gòu) 這種結(jié)構(gòu)在本質(zhì)上是直接型,但乘法次數(shù)比直接型省了一半。 2.3.4 頻率采樣型結(jié)構(gòu)系統(tǒng)函數(shù)在單位圓上作N

23、等分取樣就是單位取樣相應(yīng)h(n)的離散傅里葉變換Hk。Hk與系統(tǒng)函數(shù)之間的關(guān)系可用內(nèi)插公式表示:H(z)=1-zNk=0N-1Hk1-WN-kz-1 (8)這個公式為FIR數(shù)字濾波器提供了另外一種結(jié)構(gòu)頻率采樣型結(jié)構(gòu)。頻率采樣型結(jié)構(gòu)是一種用系數(shù)將濾波器參數(shù)化的一種實現(xiàn)結(jié)構(gòu),這種結(jié)構(gòu)由兩部分級聯(lián)構(gòu)成即第7頁(共30頁)FIR數(shù)字濾波器的優(yōu)化設(shè)計H(z)=1NHczk=0N-1Hkz (9)其中,級聯(lián)的第一部分Hcz=1-z-N為由N節(jié)延時單元構(gòu)成的梳狀濾波器,是由N節(jié)延時單元組成的全零點網(wǎng)絡(luò)。 令Hcz=1-z-N=0,即zkN=1=ej2k,則有 zk=ej2kN ,k=0,1,2,N-1 (1

24、0)即在單位圓上有N個等間隔的零點,其頻率響應(yīng)特性為 Hcej=1-e-jN=2je-jN2sinN2 (11)因而幅度響應(yīng)為 Hcej=2sinN2 (12)級聯(lián)的第二部分為 k=0N-1Hkz=k=0N-1Hk1-WN-kz-1 (13)它是由N個一階網(wǎng)絡(luò)并聯(lián)組成,每個一階網(wǎng)絡(luò)在單位圓上有 一個極點 zpk=WN-k=ej2kN (14)即該網(wǎng)絡(luò)在頻率為=2kN處響應(yīng)為無窮大,故等效于諧振頻率為2kN的諧振器。一階網(wǎng)絡(luò)的極點正好與梳狀濾波器的一個零點相抵消,從而使得在該頻率上的頻率響應(yīng)等于H(k)。這樣N個一階網(wǎng)絡(luò)的極點就和梳狀濾波器的N個零點相互抵消,從而在N個頻域采樣點上的頻率響應(yīng)就分

25、別等于N個H(k)值。FIR數(shù)字濾波器的頻率采樣型結(jié)構(gòu)如圖4所示。圖4 FIR濾波器的頻率采樣結(jié)構(gòu) 第8頁(共30頁)FIR數(shù)字濾波器的基本概念頻率采樣結(jié)構(gòu)的優(yōu)點:(1)在頻率采樣點k,H(ejk),只要調(diào)整H(k)就能有效地調(diào)整頻響特性;(2)只要h(n)長度N相同,對于任何頻響,其梳狀濾波器部分和N個一階網(wǎng)絡(luò)部分完全相同,只是各支路增益H(k)不同。相同部分便于標(biāo)準(zhǔn)化、模塊化。 缺點:(1)寄存器長度都是有限的,零、級點可能不能正好抵消,造成系統(tǒng)不穩(wěn) ;(2)當(dāng)N很大時,其結(jié)構(gòu)很復(fù)雜,需要的乘法器和延時單元很多。2.4 FIR數(shù)字濾波器的線性相關(guān)如果FIR濾波器的單位脈沖響應(yīng)h(n)為實數(shù)

26、序列,并滿足一定的對稱性(可以是偶對稱也可以是奇對稱)。并且對稱中心在處,則該濾波器就能保證具有準(zhǔn)確的線性相位。面對取值N的不同,h(n)可以被劃分為四種情況,它們分別對應(yīng)了四種線性相位的濾波器。詳細(xì)介紹如下。1.時域特點FIR的時域特點可以歸結(jié)為以下兩類:群時延定義為,是一個常數(shù),所以在此將第一類和第二類線性相位的特征統(tǒng)稱為恒定群時延特性。偶對稱和奇對稱相比,除了具有線性相位特征之外,還有的固定相移,它們共同構(gòu)成了正交變換網(wǎng)絡(luò)。2.頻域特點將線性相位具體分為四類,并在頻域上做出分析將特點歸納如下:第9頁(共30頁)FIR數(shù)字濾波器的優(yōu)化設(shè)計綜合以上特點可以將四種線性相位的FIR濾波器的特點總

27、結(jié)如下表1:表1 四種線性相位FIR濾波器的特性第10頁(共30頁)FIR數(shù)字濾波器的優(yōu)化設(shè)計3 FIR數(shù)字濾波器的優(yōu)化設(shè)計3.1 FIR數(shù)字濾波器的優(yōu)化設(shè)計準(zhǔn)則3.1.1 均方誤差最小準(zhǔn)則該準(zhǔn)則下是希望使得誤差能量最小。用表示理想的頻率響應(yīng),表示實際設(shè)計中所得到的濾波響應(yīng),并且以來表示頻率響應(yīng)誤差: 所以均方誤差為:(15)將用沖擊響應(yīng)來表示可以得到:(16)將沖擊帶入可以得到:(17)從此式中可以觀察得出:等式右邊第二項的大小和設(shè)計值無關(guān),只取決與給定的特性。并且要想讓取得最小值,就必須使第一項求和值最小,即:也就是說滿足:(18)上面這項表達(dá)式中剛好是矩形窗的設(shè)計結(jié)果。所以,滿足均方誤差

28、最小準(zhǔn)則的是矩形窗。矩形窗雖然過渡帶最窄,但是根據(jù)前面分析,由于吉布斯效應(yīng)(Gibbs)效應(yīng),窗譜的肩峰過大,造成所設(shè)計出的濾波器通帶起伏不均勻,而阻帶的衰減則過小,并不能滿足設(shè)計要求。3.1.2 最大誤差最小化準(zhǔn)則該準(zhǔn)則又被稱作為加權(quán)切比雪夫等波紋逼近或雷米茲算法。在該準(zhǔn)則中采用的方法為誤差函數(shù)加權(quán)的方法。它的主要思想是希望達(dá)到不同頻帶(比如通帶和阻帶)加權(quán)誤差的最大值相等的。第11頁(共30頁)具體分析,假設(shè)加權(quán)函數(shù)為,得到加權(quán)逼近誤差函數(shù)可以定義為:。由于不同,所以值也可不同,根據(jù)不同頻帶的公差要求的松緊程度合理的選取不同加權(quán)值。只需使得各個頻帶上的即最大值要求一致即可。設(shè)計線性相位的加

29、權(quán)切比雪夫等波紋逼近問題還可以抽象為一組系數(shù),使得在逼近的各個頻帶上,的最大值達(dá)到最小。對此帕克斯(Parks)和麥克萊倫(McClellan)引進了逼近理論的一個定理,得出交錯定理。交錯定理是切比雪夫最優(yōu)逼近算法基礎(chǔ)。定理明確指出,最優(yōu)線性相位FIR濾波器加權(quán)逼近函數(shù)至少應(yīng)該有(r+1)個極值(r是用于逼近函數(shù)的余弦函數(shù)的個數(shù)。具體而言的極值包括了以下2種情況:的極值點(大多數(shù)情況的極值同時也是的極值)單有極值點(不屬于)兩種極值點的和就是極值點的最大數(shù)目。定理中還提到,在不同頻帶上解決逼近問題,誤差函數(shù)可以在每個頻率的端點上得到一個極點,這些點一般都不是的極點,當(dāng)然和除外,此時可能有極點。

30、比如在第1種線性相位中,最多有極值點r+2=(N+5)/2。同理可以推導(dǎo)出四種線性相位的FIR濾波器余弦數(shù)目(r)及極點數(shù)目以及的極值點數(shù)關(guān)系歸納在下表中:表2 四種線性相位參數(shù)特點類型極值1. N為奇數(shù),h(n)偶對稱2. N為偶數(shù),h(n)偶對稱3. N為奇數(shù),h(n)奇對稱4. N為偶數(shù),h(n)奇對稱對于低通響應(yīng)來講,在第1種情況中用r+2=(N+5)/2個極值實現(xiàn)的濾波器比交錯原理要求的r+1=(N+3)/2個極值還多一個,故把這類濾波器叫做最多波紋濾波器。第12頁(共30頁)在雷米茲算法(remez)中可以設(shè)計任何最優(yōu)線性相位FIR濾波器。但是在設(shè)計之前需要預(yù)先知道極值點數(shù)的最大值

31、數(shù)目。目前,它是一種最為實用的最優(yōu)化算法??偨Y(jié)起來就是給定單位抽樣響應(yīng)長度N、帶通和阻帶的截止頻率進行設(shè)計。算法由加權(quán)切比雪夫逼近來描述。逼近函數(shù)是由r個獨立的預(yù)先函數(shù)之和,再利用交錯定理給出最大誤差最小化最優(yōu)逼進等波紋逼近。3.2 基于加權(quán)平方誤差最小準(zhǔn)則的FIR數(shù)字濾波器的優(yōu)化設(shè)計3.2.1 基于均方誤差最小準(zhǔn)則的FIR 數(shù)字濾波器的優(yōu)化設(shè)計FIR數(shù)字濾波器的優(yōu)化設(shè)計問題 ,是在滿足給定要求下求出 FIR 數(shù)字濾波器的沖激響應(yīng) ,使h ( n)的寬度最小(或者說過渡帶最窄) 。常用的均方誤差最小準(zhǔn)則,是以誤差的能量最小為判據(jù)。若以 E( j)表示逼近誤差譜,即E(j) = HD (j) -

32、 H(j) (19)HD (j)為給定濾波器的頻率響應(yīng), H( j)是根據(jù)所尋找的 h ( n)求得的頻率響應(yīng) Hj=n=0N-1h(n)e-jn (20)均方誤差為: 2=1202HD(ej)- H(ej)2d=1202E(j)2d (21)均方誤差最小準(zhǔn)則就是使2最小。按矩形窗口法進行設(shè)計所產(chǎn)生的均方誤差比任何其他設(shè)計方法所產(chǎn)生的都小,但矩形窗口的旁瓣電平太平,致使阻帶衰減太小,常常不能滿實際要求。因此,均方誤差最小準(zhǔn)則不適于用作 FIR 數(shù)字濾波器的優(yōu)化設(shè)計,采用 “最大誤差最小準(zhǔn)則” ,即:minmax| E( j) | A , N 個抽樣值 (22)用改變 N 個抽樣值(或 N 個

33、h ( n)值) ,使在A 域內(nèi)最大的絕對誤差最小,這個 A 域包括通帶和阻帶。為了統(tǒng)一使用最大誤差最小準(zhǔn)則,采用加權(quán)函數(shù)的形式,即min0WE2d (23)(23)式中 W () 為預(yù)先給定的誤差加權(quán)函數(shù)。采用隨機抽樣最小二乘法進行設(shè)計,將 FIR數(shù)字濾波器看成一個線性系統(tǒng),從而可通過辯識系統(tǒng)參數(shù)的方法來設(shè)計濾波器的系數(shù)。第13頁(共30頁)3.2.2 FIR數(shù)字濾波器優(yōu)化設(shè)計的算法實現(xiàn)設(shè)計 FIR 數(shù)字濾波器的算法如下:(1)根據(jù)設(shè)計要求確定 HD() 、H () 、 濾波器的類型(系數(shù)奇或偶對稱)及濾波器的階數(shù) N ;(2)選定采樣點個數(shù) L ,置參數(shù)初值(0) = 0及遞推最小二乘算法

34、的協(xié)方差矩陣初值 P (0) =0 ,置 t = 1 ;(3)按輸入輸出數(shù)據(jù)產(chǎn)生方法產(chǎn)生 x ( t )及 y( t ) ;( t ) = ( t - 1) +Pt-1x(t)1+xtPt-1x(t)yt-xt(t-1) (24)P(t)=P(t-1)-P(t-1)x(t)xtPt-11+xtPt-1x(t) (25)(4)若 t < L ,則 t = t + 1 并返回(3) ,否則到(5) ;(5)根據(jù)(4)(20)式計算 h (0) , h (1) , , h( N - 1) ;(6) H( Z) = h (0) + h (1) z-1+ h (2) z-2+ h ( N - 1)

35、 z-(N-1),結(jié)束。3.3 基于等波紋切比雪夫逼近準(zhǔn)則的最優(yōu)化設(shè)計3.3.1 等波紋切比雪夫逼近準(zhǔn)則在濾波器的設(shè)計中 ,通常情況下通帶和阻帶誤差要求是不一樣的。等波紋切比雪夫逼近準(zhǔn)則就是通過對通帶和阻帶使用不同的加權(quán)函數(shù) ,實現(xiàn)在不同頻段(通常指的是通帶和阻帶)的加權(quán)誤差最大值相同 ,從而實現(xiàn)其最大誤差在滿足性能指標(biāo)的條件下達(dá)到最小值。3.3.2 加權(quán)切比雪夫逼近誤差及交錯定理線性相位FIR 數(shù)字濾波器根據(jù)單位抽樣響應(yīng) h ( n) 的奇偶對稱性以及 h( n) 的長度 N 的奇偶性 ,總共可以分為四種類型。盡管如此 ,FIR數(shù)字濾波器的頻率響應(yīng)依然可以采用如下的統(tǒng)一形式來表示:H(ej)

36、=e-jN-1/2ej/2kHd (26)其中: k 0 ,1 , Hd() 為幅度函數(shù),它是一個可正可負(fù)的純實數(shù)。 利用三角恒等式知識和交錯定理可得:第14頁(共30頁)H() = Q() P() (27)在FIR數(shù)字濾波器的四種類型中:Q() , P() 的表達(dá)式可參閱文獻。 則加權(quán)切比雪夫誤差公式可定義為:E() = W() Hd - H() (28)其中: E() 為加權(quán)誤差, W() 為逼近誤差加權(quán)函數(shù), Hd為理想幅度函數(shù), H() 為實際濾波器幅度函數(shù)。 將(27)式代入(28)式并令:W () = W() Q () , Hd =Hd/ Q()經(jīng)推導(dǎo)可得:E() = W () H

37、() - P() (29)(29)式也是最終的加權(quán)切比雪夫逼近誤差函數(shù)公式。 那么線性相位 FIR數(shù)字濾波器的加權(quán)切比雪夫等波紋逼近問題實際上就是求解 P() 表達(dá)式的問題,從而使得在實行逼近的頻率范圍內(nèi) E() 的最大絕對值達(dá)到最小。 在此定義該最小值表達(dá)式為:E() = minmax | E(ej) | (30)A 為實行逼近的頻帶。 為了求解(30)式, Parks -McClellan把逼近理論中的交錯點定應(yīng)用到濾波器設(shè)計中,從而得出了如下的交錯定理:設(shè) P() 是 r個余弦函數(shù)的線性組合,即:P() =n=0r-1a(n)cosn (31)A 是0內(nèi)所研究的一個閉子集, Hd是 A

38、上的一個連續(xù)函數(shù),則 P() 在 A 內(nèi)能夠最佳,并且唯一地逼近 Hd的充要條件是:加權(quán)切比雪夫逼近誤差函數(shù) E() 在A中至少存在r +1個極值點,即在 A中存在1<2 < L <r+1共 r + 1個頻率點,各頻率點均滿足關(guān)系式:E(i) = - E(i+1) = ±E() (32)| E(i) | = maxA E() i= 1 ,2 r (33)3.3.3 Remez 算法Remez算法是由 Parks和McClellan等人在1972年推導(dǎo)出來的。 它是將FIR數(shù)字濾波器的五個參數(shù)( N ,1 , 2 ,p , s) 中的 N , p , s和1/2固定,

39、而視1 (或2) 為變量的一種迭代方法。 盡管 Herrmann 等人在1971年也推導(dǎo)出來了將 N和1 , 2固定,而將p , s設(shè)為變量的另一種迭代方法,但由于前一種算法最靈活且最有效。 因而成為最優(yōu)化設(shè)計的主要方法。 該方法求解過程為:第15頁(共30頁)FIR數(shù)字濾波器的優(yōu)化設(shè)計(1)求解:首先在濾波器的通帶和阻帶內(nèi)等間隔地取 r + 1個頻率點k ( k = 0 ,1 r)作為交錯點的初始值, 然后利用交錯定理中P() =n=0r-1a(n)cosn的表達(dá)及的解析式求解出滿足下式的值: WHd-P() =-1k k = 0 ,1 , L , r (34)(2)求解 P() :利用求解

40、出來的值和預(yù)先假定的r +1個頻率點求出 P(i) (其中 i = 0 ,1r - 1)的值,然后根據(jù)拉格朗日插值公式求出 P() 的最終表達(dá)式。(3)求解 E() :將求得的 P() 代入下式判定其是否滿足不等式| E() | =| W () Hd() - P() | < 的要求。 若滿足要求,則說明已經(jīng)獲得了最優(yōu)解;若在某些頻率點不滿足要求,則需要將這些頻率點作為新的極值點重新計算,經(jīng)過反復(fù)的迭代直到在所有的頻率點上都滿足不等式的要求。 這時的值就是最終所求的值。 這樣便獲得了最佳逼近。3.3.4 基于等波紋切比雪夫逼近準(zhǔn)則的FIR數(shù)字濾波器的最優(yōu)化設(shè)計步驟(1)給出所需的頻率響應(yīng)

41、Hd , 加權(quán)函數(shù)W() 以及濾波器的單位抽樣響應(yīng) h ( n) 的長度 N。(2)由(1)中給定的參數(shù)來形成所需的 Hd, W , P() 的表達(dá)式。(3)根據(jù) Remez算法,求解逼近問題。(4)根據(jù)求得的 P() 表達(dá)式,利用傅立葉逆變換計算出單位抽樣響應(yīng) h(n) 的表達(dá)式即可獲解。3.4 基于最小二乘法的 FIR 數(shù)字濾波器的優(yōu)化設(shè)計3.4.1 設(shè)計思想RLS 思想是選擇使得各次估計誤差平方和為最小即Q=t=1net2=t=1nYt-'Xt2。換言之,Q 函數(shù)最小二乘估計就是使誤差函數(shù) Q 為最小那個, 這個量可以理解為誤差能量.因此,最小二乘估計使誤差能量為最小.結(jié)合 RL

42、S 對 FIR 濾波器進行優(yōu)化設(shè)計,在頻域計算用 H(ej)表示實際得到濾波器頻響,用Hd(ej)表示要求頻響,以 E(ej)表示頻響誤差,即 E(ej)=Hd(ej)- H(ej) (35)則誤差能量:E(ej)2=Hd(ej)- H(ej)2 (36)均方誤差: e2=12-Hd(ej)- H(ej)2d (37)第16頁(共30頁)設(shè)計目的是選擇一組 h(n)F-1H(ej)使得e2最小.先將公式(35)中Hd(ej)和H(ej)分別用它們沖激響應(yīng)表示Hdej=-hd(n)e-jn,Hej=n=0N-1h(n)e-jn。由于用 FIR 濾波器來逼近,故 h(n)長度是有限的.將它們代入(

43、35)可得Eej=n=0N-1hdn-h(n)e-jn+其他nhd(n)e-jn (38)按照帕塞瓦公式有e2=n=0N-1hdn-h(n)2+其他nhdn2 (39)由此式可看出,等式右邊第二個求和項只取決于給定特性hdn,它和設(shè)計值 h(n)無關(guān),故是一個常數(shù),要使e2最小,就必須使第一項求和式最小,即希望hdn-h(n)=0,0nN-1. 在這一條件下 ,就有e2=min(e2) ,也就是說要滿足 h (n)hdn 0nN-10 其他n,這個式子恰好是矩形窗的結(jié)果。3.4.2 推導(dǎo)低通、高通、帶通、帶阻 h(n)表達(dá)式(1)低通 h(n)表達(dá)式假設(shè)Hdej=1 0c0 c , 窗函數(shù)Rn

44、=1 -N-12nN-120 其他n 則 h(n)sin(n-N-12)c(n-N-12) 其中 N 值由矩形窗過渡帶寬決定,N4V=2Vf(2) 高通 h(n)表達(dá)式 h(n)l- c n=N-12-sinc(n-N-12)(n-N-12) nN-12 其中 N值為 N4V=2Vf , 為過渡帶寬。(3)帶通 h(n)表達(dá)式 h(n)sin2(n-N-12)(n-N-12)-sin1(n-N-12)(n-N-12) 其中 N 值仍由過渡帶寬決定.第17頁(共30頁)(4)帶阻 h(n)表達(dá)式h(n)1+1+2 n=N-12sin1n-N-12-sin2n-N-12n-N-12 n=N-123

45、.4.3 FIR 數(shù)字濾波器幅度特性推導(dǎo)由于 h(n)hdn n,所以根據(jù) FT 性質(zhì),時域乘積,在頻域卷積。因此實際 FIR 濾波器幅度函數(shù)為 H()H(ej)為H()12-Hd()WR-d 下面結(jié)合幾個關(guān)鍵頻率點,根據(jù)上式說明卷積過程:(1)當(dāng) 0 時,響應(yīng) H(0)可以近似看作 從 到 WR全部積分面積,我們用 H(0)進行歸一化。(2)當(dāng) =c時,Hd()正好與WR-一半重疊,因此卷積結(jié)果正好等于 一半,即H(c)H(0)=0.5。(3)當(dāng) =c-2N 時,響應(yīng)值 H(c-2N ) ,整個WR()主瓣在Hd()通帶以內(nèi),因此卷積得到最大值H(c-2N )=max,出現(xiàn)正肩峰。(4)當(dāng)

46、=c+2N 時,響應(yīng)值 H(c+2N ) , WR()主瓣全部在通帶Hd()之外,通帶內(nèi)旁瓣負(fù)面積大于正面積,因此卷積達(dá)到最小值H(c+2N )min,出現(xiàn)負(fù)肩峰 。(5)當(dāng) >c+2N 時,WR()左尾旁瓣掃過通帶 ,因此Hd()圍繞零點波動。(6)c+2N<<c-2N 時,WR()主瓣和左右旁瓣掃過通帶Hd(),所以圍繞 1 波動。4 用MATLAB來實現(xiàn)FIR濾波器的優(yōu)化設(shè)計4.1 MATLAB簡介MATLAB是矩陣實驗室(Matrix Laboratory)的簡稱,是美國MathWorks公司出品的商業(yè)數(shù)學(xué)軟件,用于算法開發(fā)、數(shù)據(jù)可視化、數(shù)據(jù)分析以及數(shù)值計算的高級技術(shù)

47、計算語言和交互式環(huán)境,主要包括Matlab和Simulink兩大部分。第18頁(共30頁)用MATLAB來實現(xiàn)FIR數(shù)字濾波器的優(yōu)化設(shè)計4.1.1 MATLAB基本功能MATLAB一種工程計算的高級語言,由美國的MathWorks公司發(fā)布,主要面對科學(xué)計算、可視化以及交互式程序設(shè)計的高科技計算環(huán)境。它將數(shù)值分析、矩陣計算、科學(xué)數(shù)據(jù)可視化以及非線性動態(tài)系統(tǒng)的建模和仿真等諸多強大功能集成在一個易于使用的視窗環(huán)境中,為科學(xué)研究、工程設(shè)計以及必須進行有效數(shù)值計算的眾多科學(xué)領(lǐng)域提供了一種全面的解決方案,代表了當(dāng)今國際科學(xué)計算軟件的先進水平。MATLAB和Mathematica、Maple并稱為三大數(shù)學(xué)軟

48、件。它在數(shù)學(xué)類科技應(yīng)用軟件中在數(shù)值計算方面首屈一指。MATLAB可以進行矩陣運算、繪制函數(shù)和數(shù)據(jù)、實現(xiàn)算法、創(chuàng)建用戶界面、連 接其他編程語言的程序等,主要應(yīng)用于工程計算、控制設(shè)計、信號處理與通訊、圖像處理、信號檢測、金融建模設(shè)計與分析等領(lǐng)域。MATLAB的基本數(shù)據(jù)單位是矩陣,它的指令表達(dá)式與數(shù)學(xué)、工程中常用的形式十分相似,故用MATLAB來解算問題要比用C,F(xiàn)ORTRAN等語言完成相同的事情簡捷得多,并且MATLAB也吸收了像Maple等軟件的優(yōu)點,使MATLAB成為一個強大的數(shù)學(xué)軟件。在新的版本中加入了對C,FORTRAN,C+,JAVA支持,可以直接調(diào)用,此外,許多的MATLAB愛好者都編

49、寫了一些經(jīng)典的程序,用戶可以直接進行下載就可以用。4.1.2 MATLAB的特點MATLAB最重要的特點就是它的易擴展性。每個MATLAB用戶都可成為對其有貢獻的作者之一,并可創(chuàng)造自己的應(yīng)用程序。在MATLAB近幾年的發(fā)展過程中,有許多科學(xué)家、數(shù)字家和工程師等開發(fā)了一些新的有價值的應(yīng)用程序,所有程序完全不需要使用底層代碼來編寫,從而極大的促進了MATLAB的發(fā)展。4.1.3 MATLAB的優(yōu)勢 工作平臺編程環(huán)境十分友好 編程語言簡單易用 數(shù)據(jù)的計算處理能力十分強大 圖像處理能力強大 模塊集合工具箱應(yīng)用廣泛 程序的接口和發(fā)布平臺很實用第19頁(共30頁)FIR數(shù)字濾波器的優(yōu)化設(shè)計 可以開發(fā)用戶界

50、面4.2 優(yōu)化設(shè)計的MATLAB實現(xiàn)在優(yōu)化設(shè)計的MATLAB實現(xiàn)中,程序中經(jīng)常使用remez函數(shù),這種函數(shù)的使用方法為: b=remez(n,f,a,w,ftype)n為待設(shè)計濾波器的階數(shù);f是一個向量,它是一個0到1的正數(shù)a是一個向量,指定頻率段的幅度值;w對應(yīng)于各個頻段的加權(quán)值函數(shù)的返回值b是設(shè)計出的濾波器的系數(shù)組成的一個長度為n+1的向量4.2.1 利用Remez函數(shù)設(shè)計等波紋低通濾波器設(shè)計要求:通帶截頻0.5,阻帶截頻0.6 , 采樣頻率2000Hz阻帶衰減大于等于40dB,通帶波紋0.1710和阻帶波紋0.01程序參見附錄中的1-(1)利用Remez函數(shù)設(shè)計等波紋低通濾波器圖5 等波

51、紋低通濾波器的增益響應(yīng)從參考程序及圖5以得到所設(shè)計出濾波器的參數(shù)如下:濾波器的采樣頻率為2000Hz,濾波器的階數(shù)為22濾波器的通帶截頻0.5,阻帶截頻0.6,過渡帶寬均為0.1第20頁(共30頁)用MATLAB來實現(xiàn)FIR數(shù)字濾波器的優(yōu)化設(shè)計阻帶衰減為40dB,通帶波紋為0.1710,阻帶波紋為0.01對比設(shè)計要求與所設(shè)計出濾波器的參數(shù)可知,其各項參數(shù)均滿足設(shè)計指標(biāo),所設(shè)計出的濾波器即為設(shè)計所要求的濾波器。圖6 信號濾波前的時域圖和頻域圖圖7 信號濾波后的時域圖和頻域圖從圖6和圖7的圖像中可以看到:輸入信號是由兩個不同頻率的正弦信號疊加而成,信號頻域圖中位于濾波器通帶內(nèi)的頻率分量保留了下來,

52、位于濾波器阻帶內(nèi)的頻率分第21頁(共30頁)FIR數(shù)字濾波器的優(yōu)化設(shè)量被濾除,濾波器的效果符合設(shè)計要求。4.2.2 利用Remez函數(shù)設(shè)計等波紋帶通濾波器設(shè)計要求:通帶截頻0.3、0.6,阻帶截頻0.2、0.7 阻帶衰減大于等于40dB通帶波紋0.1710和阻帶波紋0.01采樣頻率2000Hz程序參見附錄中的1-(2)利用Remez函數(shù)設(shè)計等波紋帶通濾波器圖8 等波紋帶通濾波器的增益響應(yīng)從參考程序及圖8可以得到所設(shè)計出濾波器的參數(shù)如下:濾波器的采樣頻率為2000Hz,濾波器的階數(shù)為22通帶截頻0.3、0.6,阻帶截頻0.2、0.7,過渡帶寬均為0.1阻帶衰減為40dB,通帶波紋為0.1710,

53、阻帶波紋為0.01對比設(shè)計要求與所設(shè)計出濾波器的參數(shù)可知,其各項參數(shù)均滿足設(shè)計指標(biāo),所設(shè)計出的濾波器即為設(shè)計所要求的濾波器。第22頁(共30頁)用MATLAB來實現(xiàn)FIR數(shù)字濾波器的優(yōu)化設(shè)計圖9 信號濾波前的時域圖和頻域圖圖10 信號濾波后的時域圖和頻域圖從圖9和圖10的圖像中可以看到:輸入信號是由四個不同頻率的正弦信號疊加而成,信號頻域圖中位于濾波器通帶內(nèi)的頻率分量保留了下來,位于濾波器阻帶內(nèi)的頻率分量被濾除,濾波器的效果符合設(shè)計要求。4.2.3 利用Remez函數(shù)設(shè)計等波紋帶阻濾波器第23頁(共30頁)FIR數(shù)字濾波器的優(yōu)化設(shè)計設(shè)計要求:阻帶截頻0.3、0.6,通帶截頻0.2、0.7 阻帶衰減大于等于15dB通帶波紋0.01和阻帶波紋0.1710采樣頻率2000Hz程序參見附錄二中的1-(3)利用Remez函數(shù)設(shè)計等波紋

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論