通信原理課設(shè)_第1頁(yè)
通信原理課設(shè)_第2頁(yè)
通信原理課設(shè)_第3頁(yè)
通信原理課設(shè)_第4頁(yè)
通信原理課設(shè)_第5頁(yè)
已閱讀5頁(yè),還剩8頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、沈陽(yáng)理工大學(xué)通信系統(tǒng)課程設(shè)計(jì)報(bào)告 卷積碼仿真1.課程設(shè)計(jì)目的卷積碼是一種向前糾錯(cuò)控制編碼。它將連續(xù)的信息比特序列映射為連續(xù)的編碼器輸出符號(hào)。這種映射是高度結(jié)構(gòu)化的,使得卷積碼的譯碼方法與分組碼譯碼所采用的方法完全不同??梢则?yàn)證的是在同樣復(fù)雜度情況下,卷積碼的編碼增益要大于分組碼的編碼增益。對(duì)于某個(gè)特定的應(yīng)用,采用分組編碼還是采用卷積編碼哪一種更好則取決于這一應(yīng)用的具體情況和進(jìn)行比較時(shí)可用的技術(shù)1。本課程設(shè)計(jì)便是通過(guò)Matlab設(shè)計(jì)一個(gè)硬判決維特比譯碼輸出的完整電路,并進(jìn)行誤碼率分析。2.課程設(shè)計(jì)內(nèi)容及要求利用MATLAB/Simulink進(jìn)行編程和仿真,仿真的內(nèi)容可以是關(guān)于信源、信源編碼、模擬

2、調(diào)制、數(shù)字調(diào)制、多元調(diào)制、差錯(cuò)控制、多址技術(shù)、信道仿真及具體通信電路的動(dòng)態(tài)仿真實(shí)現(xiàn)。也可以用MATLAB編程對(duì)通信的某一具體環(huán)節(jié)進(jìn)行仿真。3.相關(guān)知識(shí)3.1卷積碼卷積碼,又稱(chēng)連環(huán)碼,是由伊萊亞斯(P.elias)于1955年提出來(lái)的一種非分組碼。卷積編碼的最佳譯碼準(zhǔn)則為:在給定已知編碼結(jié)構(gòu)、信道特性和接收序列的情況下,譯碼器將把與已經(jīng)發(fā)送的序列最相似的序列作為傳送的碼字序列的估值。對(duì)于二進(jìn)制對(duì)稱(chēng)信道,最相似傳送序列就是在漢明距離上與接收序列最近的序列。卷積碼的譯碼方法有兩大類(lèi):一類(lèi)是大數(shù)邏輯譯碼,又稱(chēng)門(mén)限譯碼(硬判決,編者注);另一種是概率譯碼(軟判決,編者注),概率譯碼又分為維特比譯碼和序列

3、譯碼兩種。門(mén)限譯碼方法是以分組碼理論為基礎(chǔ)的,其譯碼設(shè)備簡(jiǎn)單,速度快,但其誤碼性能要比概率譯碼法差2。當(dāng)卷積碼的約束長(zhǎng)度不太大時(shí),與序列譯碼相比,維比譯碼器比較簡(jiǎn)單,計(jì)算速度快。維特比譯碼算法是1967年由Viterbi提出,近年來(lái)有大的發(fā)展。目前在數(shù)字通信的前向糾錯(cuò)系統(tǒng)中用的較多,而且在衛(wèi)星深空通信中應(yīng)用更多,該算法在衛(wèi)星通信中已被采用作為標(biāo)準(zhǔn)技術(shù)。3.2 維特比譯碼原理采用概率譯碼的基本思想是:把已接收序列與所有可能的發(fā)送序列做比較,選擇其中碼距最小的一個(gè)序列作為發(fā)送序列。如果發(fā)送L組信息比特,那么對(duì)于(n,k)卷積碼來(lái)說(shuō),可能發(fā)送的序列有2kL個(gè),計(jì)算機(jī)或譯碼器需存儲(chǔ)這些序列并進(jìn)行比較,

4、以找到碼距最小的那個(gè)序列。當(dāng)傳信率和信息組數(shù)L較大時(shí),使得譯碼器難以實(shí)現(xiàn)。維特比算法則對(duì)上述概率譯碼做了簡(jiǎn)化,以至成為了一種實(shí)用化的概率算法。它并不是在網(wǎng)格圖上一次比較所有可能的2kL條路徑(序列),而是接收一段,計(jì)算和比較一段,選擇一段最大似然可能的碼段,從而達(dá)到整個(gè)碼序列是一個(gè)最大似然值得序列。4. 課程設(shè)計(jì)分析4.1維特比譯碼原理采用概率譯碼的基本思想是:把已接收序列與所有可能的發(fā)送序列做比較,選擇其中碼距最小的一個(gè)序列作為發(fā)送序列。如果發(fā)送L組信息比特,那么對(duì)于(n,k)卷積碼來(lái)說(shuō),可能發(fā)送的序列有2kL個(gè),計(jì)算機(jī)或譯碼器需存儲(chǔ)這些序列并進(jìn)行比較,以找到碼距最小的那個(gè)序列。當(dāng)傳信率和信

5、息組數(shù)L較大時(shí),使得譯碼器難以實(shí)現(xiàn)。維特比算法則對(duì)上述概率譯碼做了簡(jiǎn)化,以至成為了一種實(shí)用化的概率算法。它并不是在網(wǎng)格圖上一次比較所有可能的2kL條路徑(序列),而是接收一段,計(jì)算和比較一段,選擇一段最大似然可能的碼段,從而達(dá)到整個(gè)碼序列是一個(gè)最大似然值得序列。下面以圖4.1的(2,1,3)卷積碼編碼器所編出的碼為例,來(lái)說(shuō)明維特比解碼的方法和運(yùn)作過(guò)程。為了能說(shuō)明解碼過(guò)程,這里給出該碼的狀態(tài)圖,如圖4.2所示。維特比譯碼需要利用圖來(lái)說(shuō)明移碼過(guò)程。 mjmj-1mj-2輸出序列m1,m2,mj,y1jy2j輸入序列00adcb110011010110圖4.2 (2,1,3)卷積碼狀態(tài)圖圖4.1 (

6、2,1,3)卷積碼編碼器根據(jù)卷積碼畫(huà)網(wǎng)格的方法,我們可以畫(huà)出該碼的網(wǎng)格圖,如圖4.3所示。該圖設(shè)輸入信息數(shù)目L=5,所以畫(huà)L+N=8個(gè)時(shí)間單位,圖中分別標(biāo)以0至7。這里設(shè)編碼器從a狀態(tài)開(kāi)始運(yùn)作。該網(wǎng)格圖的每一條路徑都對(duì)應(yīng)著不同的輸入信息序列。由于所有可能輸入信息序列共有2kL個(gè),因而網(wǎng)格圖中所有可能的路徑也abcd節(jié)點(diǎn)號(hào)0123456700000000000000111111111111111100000101010101010101010101111110101010為2kL條。這里節(jié)點(diǎn)a=00,b=01,c=10,d=11。圖4.3 (2,1,3)卷積碼網(wǎng)格圖設(shè)輸入編碼器的信息序列為(11

7、011000),則由編碼器對(duì)應(yīng)輸出的序列為Y=(1101010001011100),編碼器的狀態(tài)轉(zhuǎn)移路線(xiàn)為abdcbdca。若收到的序列R=(0101011001011100),對(duì)照網(wǎng)格圖來(lái)說(shuō)明維特比譯碼的方法。由于該卷積碼的約束長(zhǎng)度為6位,因此先選擇接收序列的前6位序列R1=(010101)同到達(dá)第3時(shí)刻的可能的8個(gè)碼序列(即8條路徑)進(jìn)行比較,并計(jì)算出碼距。該例中到達(dá)第3時(shí)刻a點(diǎn)的路徑序列是(000000)和(111011),他們與R1的距離分別為3和4;到達(dá)第3時(shí)刻b點(diǎn)的路徑序列是(000011)和(111000),他們與R1的距離分別為3和4;到達(dá)第3時(shí)刻c點(diǎn)的路徑序列是(001110

8、)和(110101),他們與R1的距離分別為4和1;到達(dá)第3時(shí)刻d點(diǎn)的路徑序列是(001101)和(110110),他們與R1的距離分別為2和3。上述每個(gè)節(jié)點(diǎn)都保留碼距較小的路徑作為幸存路徑,所以幸存路徑碼序列是(000000)、(000011)、(1101001)和(001101),如圖4.4所示。用于上面類(lèi)似的方法可以得到第4、5、6、7時(shí)刻的幸存路徑。abcd節(jié)點(diǎn)號(hào)0123000000111111010101圖4.4 維特比譯碼第3時(shí)刻幸存路徑 需要指出的是,對(duì)于某個(gè)節(jié)點(diǎn),如果比較兩條路徑與接收序列的累計(jì)碼距值相等時(shí),則可以任意選者一條路徑作為幸存路徑,吃時(shí)不會(huì)影響最終的譯碼結(jié)果。在碼的

9、終了時(shí)刻a狀態(tài),得到一條幸存路徑。如果4.5所示。由此可看到譯碼器輸出是R=(1101010001011100),即可變換成序列(11011000),恢復(fù)了發(fā)端原始信息。比較R和R序列,可以看到在譯碼過(guò)程中已糾正了在碼序列第1和第7位上的差錯(cuò)。當(dāng)然如果差錯(cuò)出現(xiàn)太頻繁,以致超出卷積碼的糾錯(cuò)能力,還是會(huì)發(fā)生糾誤的。abcd節(jié)點(diǎn)號(hào)0123110101456780001011100 圖4.5 第8時(shí)刻幸存路徑4.2 卷積碼維特比譯碼器設(shè)計(jì)整個(gè)設(shè)計(jì)的結(jié)構(gòu)框圖如圖4.6圖4.6 整體設(shè)計(jì)結(jié)構(gòu)模塊框圖信源模塊噪聲信道信宿模塊信號(hào)編譯碼器約定參數(shù)信號(hào)信號(hào)4.3卷積譯碼器的仿真設(shè)計(jì)卷積譯碼是卷積編碼的逆過(guò)程,用

10、于從卷積編碼器的輸出信號(hào)中恢復(fù)出原始的信息序列。卷積碼的譯碼方法主要有兩種:代數(shù)譯碼和概率譯碼。代數(shù)譯碼是根據(jù)卷積嗎的本身編碼結(jié)構(gòu)進(jìn)行譯碼。編碼時(shí)不考慮信道的統(tǒng)計(jì)特性。概率譯碼在計(jì)算時(shí)要考慮信道的統(tǒng)計(jì)特性。典型的算法優(yōu)最大似然譯碼、Viterbi譯碼、序列譯碼等。維特比譯碼器有三種操作模式:Continuous、Terminated或Truncated。如果輸出信號(hào)時(shí)采樣信號(hào),則應(yīng)該把本參數(shù)設(shè)置為Continuous模式;當(dāng)輸入信號(hào)是幀數(shù)據(jù)時(shí),操作模式可以是Continuous、Terminated或Truncated。對(duì)于Continuous模式,維特比譯碼器在每幀數(shù)據(jù)結(jié)束時(shí)保存譯碼器的內(nèi)部

11、狀態(tài),用于對(duì)下一幀數(shù)據(jù)實(shí)施解碼;Terminated模式適用于卷積編碼器的每幀輸入信號(hào)的末尾有足夠的零,能夠把卷積編碼器在完成一幀數(shù)據(jù)的編碼之后把內(nèi)部狀態(tài)復(fù)位為0;設(shè)置Truncated模式時(shí),解碼器在每幀數(shù)據(jù)結(jié)束的時(shí)候總能恢復(fù)到全零的狀態(tài),它對(duì)應(yīng)于卷積編碼器On each frame復(fù)位方式。對(duì)Reset input參數(shù)來(lái)說(shuō),當(dāng)Operation mode參數(shù)設(shè)置為Continuous并且選中了本選項(xiàng)前面的復(fù)選框之后,維特比譯碼器增加一個(gè)輸入信號(hào)端口Rst。同時(shí),當(dāng)Rst的輸入信號(hào)非0時(shí),維特比譯碼器復(fù)位到初始狀態(tài)。圖4.7圖4.12分別給出了仿真系統(tǒng)各模塊的主要參數(shù)。 圖4.7 Berno

12、ulll Random Blnary Generator的主要參數(shù) 圖4.8 Convolutional Encoder的主要參數(shù) 圖4.9 Viterbi Decoder 的主要參數(shù) 圖4.10 BPSK Modulator Baseband 的主要參數(shù) 圖4.11 AWGN Channel 的主要參數(shù) 圖4.12 Error Rate Calculation 的主要參數(shù)5. 仿真模型圖5.1所示是一個(gè)有卷積和基帶BPSK調(diào)制的數(shù)字通信系統(tǒng)。在MATLAB中卷積編碼器的格型結(jié)構(gòu)用poly2trellis(9,753 561)來(lái)描述,表示約束長(zhǎng)度是9,生成多項(xiàng)式的八進(jìn)制表達(dá)為753 561。卷

13、積編碼器用八進(jìn)制表達(dá)為753.561。它的編碼效率是1/2,即輸入1位信息碼元,輸出2位信息碼元。753,561 表示了兩列移位寄存器的反饋鏈接。每列移位寄存器在1位信息碼元到達(dá)時(shí),分別通過(guò)反饋網(wǎng)路各輸出1位碼元。卷積編碼器的參數(shù)Reset用于確定卷積編碼器的復(fù)位方式。卷積編碼器中的各個(gè)寄存器的初始狀態(tài)都是0。當(dāng)復(fù)位方式設(shè)為None時(shí),卷積編碼器在整個(gè)仿真過(guò)程中都不對(duì)寄存器復(fù)位。當(dāng)復(fù)位方式設(shè)為On each frame時(shí),卷積編碼器在每幀數(shù)據(jù)開(kāi)始之前自動(dòng)寄存器復(fù)位。當(dāng)復(fù)位方式設(shè)為On nonzero Rst input時(shí),卷積編碼器增加一個(gè)輸入端口Rst,用于輸入復(fù)位信號(hào),并且在復(fù)位信號(hào)不等于

14、零時(shí)對(duì)寄存器復(fù)位。 圖5.1卷積碼的仿真6. 運(yùn)行結(jié)果6.1卷積碼仿真結(jié)果圖6.1為仿真結(jié)果。 圖6.1卷積碼仿真結(jié)果6.2誤碼率與信道信噪比關(guān)系為了得到卷積碼的信號(hào)誤碼率與信道信噪比之間的關(guān)系圖,此時(shí)將AWGN Channel(加性高斯白噪聲信道)的Error probability(差錯(cuò)概率變量)設(shè)置為errB后,可以運(yùn)行圖6.2所示程序。 圖6.2誤碼率與信道信噪比關(guān)系程序代碼圖6.2所示是圖5.1所示的仿真系統(tǒng)的傳輸特性。橫坐標(biāo)是信噪比SNR,縱坐標(biāo)是誤碼率。當(dāng)信噪比是0.2dB時(shí)基本沒(méi)有誤碼??梢?jiàn)卷積碼有著很強(qiáng)的糾錯(cuò)能力。7.參考文獻(xiàn)1 Rodger E.Ziemer,Roger L.Peterson 著. 尹長(zhǎng)川,郝建軍,羅濤 等 譯. 數(shù)通信基礎(chǔ) (Introduction to Digital Communication). 原書(shū)第2版. 北京:機(jī)械工業(yè)出版社,2005.1:85-922 樊昌信,張甫翊,徐炳祥,吳成柯. 通信原理.第5版. 北京:國(guó)防

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論