基于51單片機(jī)的四足機(jī)器人_第1頁(yè)
基于51單片機(jī)的四足機(jī)器人_第2頁(yè)
基于51單片機(jī)的四足機(jī)器人_第3頁(yè)
基于51單片機(jī)的四足機(jī)器人_第4頁(yè)
基于51單片機(jī)的四足機(jī)器人_第5頁(yè)
已閱讀5頁(yè),還剩24頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、深圳大學(xué)期末考試試卷開(kāi)/閉卷開(kāi)卷A/B卷N/A,- 1303270001課程編號(hào)1303270002 課程名稱 EDA技術(shù)與實(shí)踐(2) 學(xué)分2.0命題人(簽字) 審題人(簽字)2015年10月 20 日題號(hào)一二三四五六七八九十基本題 總分附加題得分評(píng)卷人設(shè)計(jì)考試題目:完成一個(gè)集成電路或集成系統(tǒng)設(shè)計(jì)項(xiàng)目基本要求:2-3位同學(xué)一組,完成一個(gè)完整的集成電路設(shè)計(jì)項(xiàng)目或是一個(gè)集成系統(tǒng)設(shè)計(jì)項(xiàng)目。規(guī)格說(shuō)明:1 .題目自定。1)集成電路設(shè)計(jì)項(xiàng)目i .若為IC設(shè)計(jì)項(xiàng)目需要完成IC設(shè)計(jì)的版圖。ii .若采用FPGA實(shí)現(xiàn)數(shù)字集成電路設(shè)計(jì),需要進(jìn)行下板測(cè)試。2)集成系統(tǒng)設(shè)計(jì)項(xiàng)目,需使用FPGA開(kāi)發(fā)板或嵌入式開(kāi)發(fā)板,完

2、成一個(gè)完整的集成系統(tǒng)作品。3)作品需要課堂現(xiàn)場(chǎng)演示,最后提交報(bào)告,每個(gè)小組單獨(dú)一份報(bào)告,但需闡述各個(gè) 成員的工作。2 . 評(píng)分標(biāo)準(zhǔn):評(píng)價(jià)好較好未完成完成度40302515演示效果30252015報(bào)告評(píng)分302520152015年第二學(xué)期,建 議作品內(nèi)容:完成一個(gè)行走機(jī)器人,基本要求o 2-8只腳o能行走o可以用單片機(jī),嵌入式,F(xiàn)PGA方案設(shè)計(jì)目的:通過(guò)設(shè)計(jì)一個(gè)能夠走動(dòng)的機(jī)器人來(lái)增加對(duì)動(dòng)手能力,和對(duì)硬件電路設(shè)計(jì)的 能力,增強(qiáng)軟件流程設(shè)計(jì)的能力和對(duì)設(shè)計(jì)流程實(shí)現(xiàn)電路功能的能力,在各 個(gè)方面提升自己對(duì)電子設(shè)計(jì)的能力。設(shè)計(jì)儀器和工具:本設(shè)計(jì)是設(shè)計(jì)一個(gè)能走動(dòng)的機(jī)器人,使用到的儀器和工具分別有:Sg90舵機(jī)

3、12個(gè)、四腳機(jī)器人支架一副、單片機(jī)最小系統(tǒng)一個(gè)、電容電阻若干、波動(dòng)開(kāi)關(guān)一個(gè)、超聲遙控模塊一對(duì)、杜邦線若干、充電寶一個(gè)。設(shè)計(jì)原理:本次設(shè)計(jì)的機(jī)器人是通過(guò) 51單片機(jī)控制器來(lái)控制整個(gè)電路的。其中,舵 機(jī)的控制是通過(guò)產(chǎn)生一個(gè)周期為 20毫秒的高電平帶寬在0.5到2.5ms之間 的pwm信號(hào)來(lái)控制。12路Pwm信號(hào)由單片機(jī)的定時(shí)器來(lái)產(chǎn)生。51單片 機(jī)產(chǎn)生12路pwm信號(hào)的原理是:以20毫秒為周期,把這20毫秒分割成 8個(gè)2.5ms,因?yàn)?,每個(gè)pwm信號(hào)的高電平時(shí)間最多為 2.5ms,然后在前 六個(gè)2.5ms中分別輸出兩個(gè)pwm信號(hào)的高電平,例如,在第一個(gè) 2.5ms中 輸出第一個(gè)和第二個(gè)pwm信號(hào)的高

4、電平時(shí),首先開(kāi)始時(shí),把信號(hào)S1、S2都置1,然后比較兩個(gè)高電平時(shí)間,先定時(shí)時(shí)間短的高電平時(shí)間,把高電 平時(shí)間短的那個(gè)信號(hào)置 0,再定時(shí)兩個(gè)高電平時(shí)間差,到時(shí)把高電平時(shí)間 長(zhǎng)的按個(gè)信號(hào)置 0,然后,定時(shí)(2.5-較長(zhǎng)那個(gè)高電平時(shí)間),在第二個(gè) 2.5ms開(kāi)始時(shí),把S& S4置1,接下來(lái)和上面S1、S2一樣,以此類推, 在六個(gè)2.5ms中輸出12路pwm信號(hào)來(lái)控制舵機(jī)。原理圖如圖1.通過(guò)超聲模塊來(lái)控制機(jī)器人前進(jìn)、后退、向前的左轉(zhuǎn)、向前的右轉(zhuǎn)、向后的左 轉(zhuǎn)、向后的右轉(zhuǎn)幾個(gè)動(dòng)作。EDA技術(shù)與實(shí)踐(2)試卷1卷 第7頁(yè)共28頁(yè)控制模塊電路,D0,D1 , D2,D3分別為超聲接受模塊的輸出,輸出為高電

5、平,要加NPN作為開(kāi)關(guān)。LG12HKPO.O/ADO P0 1/AD1 P0.2/AD2 P0.3/AD3 P0.4/AD4 P0.5/AD5 P0.6/AD6 P0.77AD7P2.0/A8P2 1/A9P2.2/A10 P2 3/A11 P24/A12 P2.5/A13 P2.S/A14 P2.72A15P3.D/RXD P3.1ATXD P3.2/INJ0 P3.3/INT1P34/T0P3.5M139383736353433212223242526272810111215Q4NPNQ1NPN _ 1xQ2O DOO D1O D2-O 口 3NPNQ3NPN四、設(shè)計(jì)步驟:1、 設(shè)計(jì)好硬件

6、電路,焊接51單片機(jī)的最小系統(tǒng)和各個(gè)硬件電路。2、 設(shè)計(jì)好軟件的流程圖,如圖2。3、 寫產(chǎn)生12路控制舵機(jī)的pwm信號(hào)的程序并在proteus中測(cè)試,如 圖3。4、 設(shè)計(jì)出行走步態(tài),四腳機(jī)器人的步態(tài)是采用對(duì)角的相互前進(jìn)來(lái)實(shí)現(xiàn)的,如圖4。5、 寫出流程圖中各個(gè)模塊的軟件,包括前進(jìn)函數(shù)、后退函數(shù)、左轉(zhuǎn)和右轉(zhuǎn)的函數(shù),并逐個(gè)燒到單片機(jī)中測(cè)試。6、 按流程圖把各個(gè)函數(shù)組合到主函數(shù)中,完成所有軟件的編寫,并燒到單片機(jī)中測(cè)試,并不斷的調(diào)試。圖2.流程圖fl- -/1 FO3*.D3P0J9/AM2 0n 總F2?JAi ,金國(guó)11 e*寸 修匕人也 足酬加 R? 7WWRI DJQ 訃 FJ 1CL5E 3

7、*第17。 p:L3jihlira.+w咫s frmmfQ圖3.在proteus里測(cè)試并調(diào)試pwm信號(hào)圖4,行走步態(tài)五、遇到的問(wèn)題及解決:1、 此設(shè)計(jì)的pwm信號(hào)輸出使用定時(shí)器來(lái)產(chǎn)生每個(gè)信號(hào)的高電平和低 電平,每次定時(shí)時(shí)間到,都會(huì)會(huì)關(guān)掉定時(shí)器并執(zhí)行中斷函數(shù),在此過(guò) 程中會(huì)消耗一定的時(shí)間,等到給定時(shí)器賦值下一次定時(shí)時(shí)間并開(kāi)始定 時(shí)時(shí),就會(huì)產(chǎn)生一定的時(shí)間延時(shí),造成每次高電平時(shí)間都會(huì)變長(zhǎng)一 點(diǎn),且總的加起來(lái)會(huì)使20ms周期變長(zhǎng),因此,需要稍微減小高電平的 定時(shí)時(shí)間,并結(jié)合proteus仿真確定最準(zhǔn)確值。2、 由于機(jī)器人的四個(gè)腳都是自己組裝的,可能會(huì)有存在不平衡和對(duì)稱,當(dāng)對(duì)角的兩只腳同時(shí)向前邁同一個(gè)角

8、度時(shí),會(huì)使機(jī)器人向一個(gè)方 向偏轉(zhuǎn)而不沿直線前進(jìn),這時(shí)要結(jié)合實(shí)際測(cè)試來(lái)調(diào)整機(jī)器人的各個(gè)腳 的前邁角度來(lái)使機(jī)器人平衡的沿直線前進(jìn),比如,一只腳邁多點(diǎn),另 一邊的腳邁少點(diǎn)。六、心得與體會(huì): 通過(guò)這次設(shè)計(jì),我更加的熟悉基本的硬件電路和軟件的設(shè)計(jì),特別是軟件的流 程圖設(shè)計(jì)。更加熟悉軟硬件電路結(jié)合的測(cè)試與調(diào)試。六、實(shí)驗(yàn)實(shí)物圖:設(shè)計(jì)代碼:#include#define uchar unsigned char#define uint unsigned intuint pwm12,p_min1,p_max1,p_min2,p_max2,p_min3,p_max3,p_min4,p_max4,p_min5,p_m

9、ax5, p_min6,p_max6,p1,p2,p3,p4,p5,p6,p11,p21,p31,p41,p51,p61;/ 高電平帶寬sbit s0=P2A0;/12 路輸出信號(hào)sbit s1=P2A1;sbit s2=P2A2;sbit s3=P2A3;sbit s4=P2A4;sbit s5=P2A5;sbit s6=P2A6;sbit s7=P2A7;sbit s8=P0A6;sbit s9=P0A4;sbit s10=P0A2;sbit s11=P0A0;sbit up=P1A0;sbit right=P1A4;sbit left=P1A2;sbit down=P1A6;uchar

10、s_num,f,b,r,l,back_flag;forward_flag;void back(); 后退void forward。; 前進(jìn)void back_right();后右轉(zhuǎn)、前左轉(zhuǎn)void back_left();后左轉(zhuǎn)、前右轉(zhuǎn)void scan_key();/ 遙控監(jiān)控void labor_init();/機(jī)器人的初始狀態(tài) void delay(uint i) /延時(shí)函數(shù),延時(shí)一秒uint j;for(i;i0;i-)for(j=110;j0;j-);void init(void)/ 中斷初始函數(shù)TMOD=0x01;TR0=1;ET0=1;EA=1;void rate(uint p1

11、2)/pwm 的排序函數(shù)p_min1=(p0p1?(p0):(p1);p_min2=(p2p3?p2:p3;p_min3=(p4p5?p4:p5;p_min4=(p6p7?p6:p7;p_min5=(p8p9?p8:p9;p_min6=(p10p11?p10:p11;p1=p_max1-p_min1-21;p2=p_max2-p_min2-42;p3=p_max3-p_min3-42;p4=p_max4-p_min4-42;p5=p_max5-p_min5-42;p6=p_max6-p_min6-42;p11=2400-p_max1; EDA 技術(shù)與實(shí)踐(2)試卷1 卷 第 9 頁(yè) 共 28

12、頁(yè)p21=2400-p_max2;p31=2400-p_max3;p41=2400-p_max4;p51=2400-p_max5;p61=15500-p_max6;TH0=-p_min1/256;TL0=-p_min1%256;s_num=0;s0=1;s1=1;init();void scan_key()if(P1!=0xff)delay(5);if(up=0)f=0;if(down=0)b=0;if(right=0)r=0;if(left=0)l=0;void time0() interrupt 1 中斷產(chǎn)生 12 路 pwm 信號(hào)TR0=0;switch(s_num)case 0:if(

13、pwm0pwm1)s0=0;elses1=0;TH0=-p11/256;TL0=-p11%256;s_num+;break;case 2:s2=1;s3=1;TH0=-p_min2/256;TL0=-p_min2%256;s_num+;break;case 3:if(pwm2pwm3)s2=0;elses3=0;TH0=-p21/256;TL0=-p21%256;s_num+;break;case 5:s4=1;s5=1;TH0=-p_min3/256;TL0=-p_min3%256;s_num+;break;case 6:if(pwm4pwm5)s4=0;elses5=0;TH0=-p31/

14、256;TL0=-p31%256;s_num+;break;case 8:s6=1;s7=1;TH0=-p_min4/256;TL0=-p_min4%256;s_num+;break;case 9:if(pwm6pwm7)s6=0;elses7=0;TH0=-p41/256;TL0=-p41%256;s_num+;break; EDA 技術(shù)與實(shí)踐(2)試卷1 卷 第 13 頁(yè) 共 28 頁(yè)case 11:s8=1;s9=1;TH0=-p_min5/256;TL0=-p_min5%256;s_num+;break;case 12:if(pwm8pwm9)s8=0;elses9=0;TH0=-p5

15、1/256;TL0=-p51%256;s_num+;break;case 14:s10=1;s11=1;TH0=-p_min6/256;TL0=-p_min6%256;s_num+;break;case 15:if(pwm10pwm11)s10=0;elses11=0;TH0=-p61/256;TL0=-p61%256;s_num+;break;case 17:s0=1;s1=1;s_num=0;TH0=-p_min1/256;TL0=-p_min1%256;break;scan_key();TR0=1;void motor_init1()/ 給所有信號(hào)都設(shè)高電平時(shí)間為1.5 毫秒 EDA 技

16、術(shù)與實(shí)踐(2)試卷 1 卷 第 # 頁(yè) 共 28 頁(yè)uchar i;for(i=0;i12;i+) pwmi=1500; void labor_init()機(jī)器人的初始狀態(tài)motor_init1();l=1;f=1;r=1;b=1;back_flag=0;forward_flag=0;rate(pwm);delay(200);while(1)if(r=0)r=1;back_right();if(l=0)l=1;back_left();if(f=0)f=1;forward。;if(b=0)b=1;back();void back()back_flag=1;forward_flag=0;motor

17、_init1();pwm8=pwm8+300;pwm9=pwm9-250;pwm2=pwm2+150;pwm3=pwm3-150;pwm7=pwm7+50;/pwm0=pwm0-80;/pwm5=pwm5+80;/pwm11=pwm11-30;rate(pwm);delay(500);pwm3=pwm3+320;pwm8=pwm8-200;pwm4=pwm4+600;pwm5=pwm5+600;pwm6=pwm6+600;pwm7=pwm7+600;rate(pwm);delay(300);pwm4=pwm4-600;pwm5=pwm5-600;pwm6=pwm6-600;pwm7=pwm7-

18、600;rate(pwm);delay(300);while(1)if(r=0)r=1;back_right();if(l=0)l=1;back_left();if(f=0)f=1;forward。;if(b=0)b=1;pwm3=pwm3-320;pwm8=pwm8+200;pwm2=pwm2-270;pwm9=pwm9+320;pwm1=pwm1-600;pwm0=pwm0-600;pwm10=pwm10-600;pwm11=pwm11-600;rate(pwm);delay(300);pwm1=pwm1+600;pwm0=pwm0+600;pwm10=pwm10+600; EDA 技術(shù)與

19、實(shí)踐(2)試卷1 卷 第 19 頁(yè) 共 28 頁(yè)pwm11=pwm11+600;rate(pwm);delay(500);pwm2=pwm2+270;pwm9=pwm9-320;pwm3=pwm3+320;pwm8=pwm8-200;pwm4=pwm4+600;pwm5=pwm5+600;pwm6=pwm6+600;pwm7=pwm7+600;rate(pwm);delay(300);pwm4=pwm4-600;pwm5=pwm5-600;pwm6=pwm6-600;pwm7=pwm7-600;rate(pwm);delay(500);if(P1!=0xff)forward();void ba

20、ck_right()motor_init1();pwm8=pwm8+50;pwm9=pwm9-50;/pwm2=pwm2+150;/pwm3=pwm3-150;pwm7=pwm7+100;/pwm0=pwm0-80;EDA 技術(shù)與實(shí)踐(2)試卷1 卷 第 # 頁(yè) 共 28 頁(yè)/pwm5=pwm5+80;/pwm11=pwm11-30;rate(pwm);delay(300);pwm3=pwm3-70;pwm8=pwm8-70;pwm4=pwm4+600;pwm5=pwm5+600;pwm6=pwm6+600;pwm7=pwm7+600;rate(pwm);delay(300);pwm4=pwm

21、4-600;pwm5=pwm5-600;pwm6=pwm6-600;pwm7=pwm7-600;rate(pwm);delay(300);while(1)if(r=0)if(back_flag=1)r=1;back_right();if(forward_flag=1)r=1;back_left();if(l=0)if(back_flag=1)l=1;back_left();if(forward_flag=1)l=1;back_right();if(f=0)f=1;forward();if(b=0)b=1;back();pwm3=pwm3+70;pwm8=pwm8+70;pwm2=pwm2-70

22、;pwm9=pwm9-70;pwm1=pwm1-600;pwm0=pwm0-600;pwm10=pwm10-600;pwm11=pwm11-600;rate(pwm);delay(300);pwm1=pwm1+600;pwm0=pwm0+600; EDA 技術(shù)與實(shí)踐(2)試卷1 卷 第 # 頁(yè) 共 28 頁(yè)pwm10=pwm10+600;pwm11=pwm11+600;rate(pwm);delay(500);pwm2=pwm2+70;pwm9=pwm9+70;pwm3=pwm3-70;pwm8=pwm8-70;pwm4=pwm4+600;pwm5=pwm5+600;pwm6=pwm6+600

23、;pwm7=pwm7+600;rate(pwm);delay(300);pwm4=pwm4-600;pwm5=pwm5-600;pwm6=pwm6-600;pwm7=pwm7-600;rate(pwm);delay(300);void back_left()motor_init1(); pwm8=pwm8+50; pwm9=pwm9-50; /pwm2=pwm2+150; /pwm3=pwm3-150; pwm6=pwm6+50; pwm7=pwm7+100; /pwm0=pwm0卜80;/pwm5=pwm5+80;/pwm11=pwm11-30;rate(pwm);delay(300);pw

24、m3=pwm3+70;pwm8=pwm8+70;pwm4=pwm4+600;pwm5=pwm5+600;pwm6=pwm6+600;pwm7=pwm7+600;rate(pwm);delay(300);pwm4=pwm4-600;pwm5=pwm5-600;pwm6=pwm6-600;pwm7=pwm7-600;rate(pwm);delay(300);while(1)if(r=0)if(back_flag=1)r=1;back_right();if(forward_flag=1)r=1;back_left();if(l=0)EDA 技術(shù)與實(shí)踐(2)試卷 1 卷 第 # 頁(yè) 共 28 頁(yè)pwm

25、11=pwm11+600;if(back_flag=1)l=1;back_left();if(forward_flag=1)l=1;back_right();if(f=0)f=1;forward。;if(b=0)b=1;back();pwm3=pwm3-70;pwm8=pwm8-70;pwm2=pwm2+70;pwm9=pwm9+70;pwm1=pwm1-600;pwm0=pwm0-600;pwm10=pwm10-600;pwm11=pwm11-600;rate(pwm);delay(300);pwm1=pwm1+600;pwm0=pwm0+600;pwm11=pwm11+600;rate(pwm);delay(500);pwm2=pwm2-70;pwm9=pwm9-70;pwm3=pwm3+70;pwm8=pwm8+70;pwm4=pwm4+600;pwm5=pwm5+600;pwm6=pwm6+600;pwm7=pwm7+600;rate(pwm);delay(300);pwm4=pwm4-600;pwm5=pwm5-600;pwm6=pwm6-600;pwm7=pwm7-600;rate(pwm);delay(300);void forward()forward_flag=1;back_flag=0;motor_init1();pwm2=pwm2-150;

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論