51單片機(jī)匯編語(yǔ)言步進(jìn)電機(jī)轉(zhuǎn)速控制系統(tǒng)_第1頁(yè)
51單片機(jī)匯編語(yǔ)言步進(jìn)電機(jī)轉(zhuǎn)速控制系統(tǒng)_第2頁(yè)
51單片機(jī)匯編語(yǔ)言步進(jìn)電機(jī)轉(zhuǎn)速控制系統(tǒng)_第3頁(yè)
51單片機(jī)匯編語(yǔ)言步進(jìn)電機(jī)轉(zhuǎn)速控制系統(tǒng)_第4頁(yè)
51單片機(jī)匯編語(yǔ)言步進(jìn)電機(jī)轉(zhuǎn)速控制系統(tǒng)_第5頁(yè)
已閱讀5頁(yè),還剩30頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、、填空題大連理工大學(xué)本科設(shè)計(jì)報(bào)告題目:步進(jìn)電機(jī)轉(zhuǎn)速控制系統(tǒng)設(shè)計(jì)頁(yè)腳內(nèi)容-3 -課程名稱(chēng):單片機(jī)綜合設(shè)計(jì)學(xué)院:電子信息與電氣工程學(xué)部業(yè):級(jí):號(hào):學(xué)生姓名:成 績(jī):2013年7 月 20 日題目:步進(jìn)電機(jī)轉(zhuǎn)速控制系統(tǒng)設(shè)計(jì)1設(shè)計(jì)要求 1)利用ZLG729啲鍵盤(pán)控制直流電機(jī)(或步進(jìn)電機(jī)的轉(zhuǎn)速、轉(zhuǎn)向) 2)也可以利用ADC莫塊(與電位器配合),利用電位器控制轉(zhuǎn)速;3)利用ZLG7290勺8位LED數(shù)碼管顯示電機(jī)轉(zhuǎn)向、轉(zhuǎn)速參數(shù)顯示。2設(shè)計(jì)分析及系統(tǒng)方案設(shè)計(jì)實(shí)驗(yàn)要求使用步進(jìn)電機(jī)作為被控制對(duì)象,由ZLG729G做人機(jī)對(duì)話平臺(tái),利用單片機(jī)的 P1( 8位)和P3(部分口線)構(gòu)造系統(tǒng)。實(shí)驗(yàn)最終實(shí)現(xiàn)功能、設(shè)計(jì)思路以

2、及方案設(shè)計(jì)如以下幾個(gè)小節(jié)所述。2.1系統(tǒng)設(shè)計(jì)實(shí)現(xiàn)功能根據(jù)設(shè)計(jì)要求、現(xiàn)有設(shè)備以及知識(shí)儲(chǔ)備,完成功能如下: 由按鍵S1S®現(xiàn)轉(zhuǎn)速切換,其中S1S4E轉(zhuǎn),S5S皈轉(zhuǎn)、填空題 按鍵S16乍為停止鍵,按下S1C后步進(jìn)電機(jī)停止轉(zhuǎn)動(dòng),再按 sisi步進(jìn)電機(jī)按照按鍵對(duì)應(yīng)轉(zhuǎn)速以及轉(zhuǎn)向轉(zhuǎn)動(dòng)按鍵S10作為復(fù)位鍵,當(dāng)按下S1C后,無(wú)論當(dāng)前處于何種狀態(tài),系統(tǒng)恢復(fù)至初始態(tài)8為L(zhǎng)ED碼管顯示當(dāng)前步進(jìn)電機(jī)轉(zhuǎn)速(speed=0/1 14),轉(zhuǎn)速前0表示正轉(zhuǎn),1表示反轉(zhuǎn)若按下停止鍵,數(shù)碼管顯示當(dāng)前轉(zhuǎn)速;若按下復(fù)位鍵,數(shù)碼管顯示初始態(tài)sp eed=OO2.2設(shè)計(jì)思路本次的設(shè)計(jì)是LED顯示與步進(jìn)電機(jī)相結(jié)合以及若干功能鍵的組

3、合的一種設(shè)計(jì)。根據(jù)之前學(xué)習(xí)的按鍵中 斷顯示實(shí)驗(yàn)和定時(shí)器實(shí)驗(yàn),使用INTO和INT1 INTO乍為按鍵中斷,INT1作為定時(shí)器。在主程序中實(shí)現(xiàn)INT1定時(shí)器中斷根據(jù)INTOLED初始顯示、定時(shí)器計(jì)時(shí)初始、按鍵中斷初始。INT0中斷調(diào)用中斷服務(wù)子程序?qū)崿F(xiàn)對(duì)按鍵鍵值的判斷,并根據(jù)相應(yīng)的按鍵值實(shí)現(xiàn)對(duì)應(yīng)步進(jìn)電機(jī)的變化,并顯示該按鍵對(duì)應(yīng)的轉(zhuǎn)速。的按鍵鍵值,對(duì)定時(shí)器設(shè)定相應(yīng)的初值,實(shí)現(xiàn)步進(jìn)電機(jī)按規(guī)定的轉(zhuǎn)速轉(zhuǎn)動(dòng)。對(duì)于按鍵停止,貝規(guī)利用中斷優(yōu)先級(jí),當(dāng)INTO的中斷優(yōu)先級(jí)高時(shí),系統(tǒng)進(jìn)入中斷,此時(shí)INTI亭止計(jì)時(shí),也就實(shí)現(xiàn)了步進(jìn)電機(jī)的停止,當(dāng)改變定時(shí)器與按鍵中斷的優(yōu)先級(jí)時(shí),即把INT O設(shè)為低優(yōu)先級(jí),INT1設(shè)為咼

4、優(yōu)先級(jí),步進(jìn)電機(jī)重新開(kāi)始轉(zhuǎn)動(dòng)。此時(shí)添加一個(gè)對(duì)INTO位地址的查詢(xún),若有按鍵即正/反轉(zhuǎn)的4檔轉(zhuǎn)速所對(duì)應(yīng)的按鍵,步 進(jìn)電機(jī)開(kāi)始重新轉(zhuǎn)動(dòng)。對(duì)于復(fù)位功能,貝侗樣是利用按鍵鍵值的判斷,在對(duì)應(yīng)鍵值下控制電機(jī)初始化。2.3系統(tǒng)方案設(shè)計(jì)系統(tǒng)總設(shè)計(jì)方案框圖如下所示:、填空題圖1.1系統(tǒng)總框圖3 系統(tǒng)電路圖見(jiàn)附錄4外圍接口模塊硬件電路功能描述本設(shè)計(jì)主要用到的器件是AT89C51 ZLG7290和步進(jìn)電機(jī)。4.1 AT89C51AT89C5的主要參數(shù)如下表所示:AT89C5是一種帶4K字節(jié)閃爍可編程可擦除只讀存儲(chǔ)器的低電壓,高性能CMOS位微處理器,俗稱(chēng)單片機(jī)。其引腳如圖1.2所示。頁(yè)腳內(nèi)容-16 -pkPl.pk

5、Pl.Pl.Pl.Pt-Pl.RSRXri/P3.TXD/P3, £WtU/F3.TWTI/PXTn/PL T1/P3.WpxWra.KAIL 2KAILIGNDft8 0 in1213 4 Jb17 ja20bl1U)9iti in 3432115 口 29 ?A 27 26 2C 24 2-3 22 21urcPO.O/ALOn. l/AriTQ.2/AI2 PU. J/AL J ptkSr© PR. 5/AI5 FU. 5/Alb PO.T/ALT FA/VTP -ALE/FECG PSEW7/AlD F氐 5ZAH 5/AJ 3 P2.4/A12 一PH 3/A 1

6、 P2+ 2/AJ P2. l/AC TH U/Ae圖1.2單片機(jī)的引腳排列管腳說(shuō)明如下:VCC供電電壓GND接地P0 口:8位漏級(jí)開(kāi)路雙向I/O 口,每腳可吸收8TTL門(mén)電流;P1 口:P1 口是一個(gè)內(nèi)部提供上拉電阻的8位雙向I/O 口,P1 口緩沖器能接收輸出4TTL門(mén)電流;P2 口:P2 口為一個(gè)內(nèi)部上拉電阻的8位雙向I/O 口,P2 口緩沖器可接收,輸出4個(gè)TTL門(mén)電流,當(dāng)P2口被寫(xiě)“T時(shí),其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時(shí),P2 口的管腳被外部拉低,將輸出電流。P3 口: P3口管腳是8個(gè)帶內(nèi)部上拉電阻的雙向I/O 口,可接收輸出4個(gè)TTL門(mén)電流。P3 口也可作為

7、AT89C5的一些特殊功能口,如下所示:P 3.0RXD(串行輸入口)P 3.1TXD(串行輸出口)P 3.2/INT0 (外部中斷0)P 3.3/INT1 (外部中斷1)P 3.4T0 (記時(shí)器0外部輸入)P 3.5T1 (記時(shí)器1外部輸入)P 3.6/WR (外部數(shù)據(jù)存儲(chǔ)器寫(xiě)選通)P 3.7/RD (外部數(shù)據(jù)存儲(chǔ)器讀選通)P3 口同時(shí)為閃爍編程和編程校驗(yàn)接收一些控制信號(hào)。RST復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時(shí),要保持RST卻兩個(gè)機(jī)器周期的高電平時(shí)間。4.2 ZLG7290BZLG7290是數(shù)碼管動(dòng)態(tài)顯示驅(qū)動(dòng)、鍵盤(pán)掃描管理芯片。接口采用I2C結(jié)構(gòu)。內(nèi)部寄存器有13個(gè)單元 00H-0DH1系統(tǒng)寄存

8、器(地址00H),第0位稱(chēng)作按鍵有效位,為1表示按鍵按下,為0表示沒(méi)有按鍵操作,并且有按鍵按下的時(shí)候在ZLG7290B勺/INT引腳會(huì)產(chǎn)生一個(gè)低電平的中斷請(qǐng)求信號(hào)。2)鍵值寄存器(地址01H,如果按下的是普通鍵,鍵值寄存器就保存按鍵對(duì)應(yīng)的兼職(1-56。3)連擊計(jì)數(shù)器(02H),就是芯片為普通鍵提供的一種連擊計(jì)數(shù)功能,當(dāng)按下某一按鍵就可以產(chǎn)生連續(xù)的中斷請(qǐng)求信號(hào)。4)功能鍵值寄存器(地址03H),功能按鍵不產(chǎn)生鍵值,但是在按下和抬起都會(huì)產(chǎn)生中斷請(qǐng)求信號(hào)。5)命令寄存器(地址07H和08H),向命令寄存器送入相關(guān)的控制命令可以實(shí)現(xiàn)段尋址,下載顯示數(shù)據(jù)和控制閃爍等功能。6)閃爍控制寄存器(地址0HC

9、H,閃爍控制寄存器決定閃爍的頻率和占空比。7)掃描位數(shù)寄存器(地址0DH),掃描位數(shù)寄存器決定著 ZLG7290動(dòng)態(tài)掃描顯示的位數(shù),取值0-7,對(duì)應(yīng)的顯示1-8位。8)顯示緩沖寄存器(地址10H-17H,八個(gè)顯示緩沖寄存器直接決定著數(shù)碼管上顯示的字形和顯示的位置。ZLG7290B勺引腳圖如下:232427S9 ToZLG729016"8r?15OSC2OSCl ;;/RES圖1.3. ZLG7290B腳邏輯圖4.3步進(jìn)電機(jī)步進(jìn)電機(jī)是將電脈沖信號(hào)轉(zhuǎn)變?yōu)榻俏灰苹蚓€位移的開(kāi)環(huán)控制元件。在非超載的情況下,電機(jī)的轉(zhuǎn)速、 停止的位置只取決于脈沖信號(hào)的頻率和脈沖數(shù),而不受負(fù)載變化的影響,即給電機(jī)加

10、一個(gè)脈沖信號(hào), 電機(jī)則轉(zhuǎn)過(guò)一個(gè)步距角。這一線性關(guān)系的存在,加上步進(jìn)電機(jī)只有周期性的誤差而無(wú)累積誤差等特點(diǎn)。使得在速度、位置等控制領(lǐng)域用步進(jìn)電機(jī)來(lái)控制變的非常的簡(jiǎn)單。(一)步進(jìn)電機(jī)的靜態(tài)指標(biāo)術(shù)語(yǔ)m表示。1相數(shù):產(chǎn)生不同對(duì) N S磁場(chǎng)的激磁線圈對(duì)數(shù)。常用2、拍數(shù):完成一個(gè)磁場(chǎng)周期性變化所需脈沖數(shù)或?qū)щ姞顟B(tài)用n表示,或指電機(jī)轉(zhuǎn)過(guò)一個(gè)齒距角所需脈沖數(shù)。3、步距角:對(duì)應(yīng)一個(gè)脈沖信號(hào),電機(jī)轉(zhuǎn)子轉(zhuǎn)過(guò)的角位移用0表示。(二)步進(jìn)電機(jī)動(dòng)態(tài)指標(biāo)及術(shù)語(yǔ):1最大空載起動(dòng)頻率:電機(jī)在某種驅(qū)動(dòng)形式、電壓及額定電流下,在不加負(fù)載的情況下,能夠直接起動(dòng)的最大頻率。2、最大空載的運(yùn)行頻率:電機(jī)在某種驅(qū)動(dòng)形式,電壓及額定電流下,

11、電機(jī)不帶負(fù)載的最高轉(zhuǎn)速頻率。3、電機(jī)正反轉(zhuǎn)控制:當(dāng)電機(jī)繞組通電時(shí)序?yàn)镈-DC-C-CB-B-BA-A-AD為正轉(zhuǎn),通電時(shí)序?yàn)锳D-A-AB-B-BC-C-C 時(shí)為反轉(zhuǎn)。如下所示的步進(jìn)電機(jī)為一四相步進(jìn)電機(jī),采用單極性直流電源供電。只要對(duì)步進(jìn)電機(jī)的各相繞組按合適的時(shí)序通電,就能使步進(jìn)電機(jī)步進(jìn)轉(zhuǎn)動(dòng)。圖1.4是該四相反應(yīng)式步進(jìn)電機(jī)工作原理示意圖。B圖1.4四相步進(jìn)電機(jī)步進(jìn)示意圖5主程序中主要變量定義變量名稱(chēng)RAM單元/寄存器功能SDAP 3.3IIC數(shù)據(jù)總線SCLP 3.4IIC時(shí)鐘線RSTP 3.5IIC復(fù)位線BAP 1.0步進(jìn)電機(jī)驅(qū)動(dòng)BBP 1.1步進(jìn)電機(jī)驅(qū)動(dòng)BCP 1.2步進(jìn)電機(jī)驅(qū)動(dòng)BDP 1.

12、3步進(jìn)電機(jī)驅(qū)動(dòng)WSLA70H取器件地址(寫(xiě))RSLA71H取器件地址(讀)DISDA20H源數(shù)據(jù)塊首地址DISCON08H寫(xiě)入數(shù)據(jù)個(gè)數(shù)DATA_130H變量區(qū)首地址6系統(tǒng)軟件中各個(gè)子程序的功能描述子程序名稱(chēng)入口參數(shù)出口參數(shù)功能描述INT_7290AA按鍵中斷TI_INTA無(wú)定時(shí)器中斷CFAR3 R4拆分,高4位存在R4中,低4位存在R3中DELAY無(wú)無(wú)步進(jìn)電機(jī)相旋轉(zhuǎn)鄰角延 時(shí);按鍵抖動(dòng)消除延時(shí)RDKEY無(wú)A讀出鍵值SHOW無(wú)無(wú)將當(dāng)前10H-17的字形碼輸出WRNBYTR7,R0,R2,R3無(wú)通用的IIC通訊子程序(多字節(jié)寫(xiě)操作)RDADDR7,R0,R2,R3,R4R0指向的主器件內(nèi)部數(shù)據(jù)塊通

13、用的IIC通訊子程序(多字節(jié)讀操作)6 主程序程序流程圖見(jiàn)附錄7 程序清單RSTBIT P3.5SDA BIT P3.3BABIT P1.0SCL BIT P3.4填空題頁(yè)腳內(nèi)容-31 -BBBITP 1.1BCBITP 1.2BDBITP 1.3WSLAEQU 70HRSLAEQU 71HDISDAEQU20HDISCONEQU 08H主程序開(kāi)始*;INT(實(shí)現(xiàn)按鍵中斷,INT1用于定時(shí)器START: MOVSP#60HCLRLCALLSETBRST ;復(fù)位DELAYRSTDATA_1EQU 30HSETB EAORG8000HSETB EXOLJMP8100HCLR ITO;開(kāi) INT0中

14、斷ORG8003HSETB PX0 ;高優(yōu)先級(jí)LJMPINT_7290MOV TMOD,#10|定時(shí)器 1 設(shè)ORGLJMP;按鍵中斷入口地址;為模式1801BHTI INT;定時(shí)器中斷入口地址ORG 8100HMOV TH1,#00HMOV TL1,#00HSETB ET1;開(kāi) INT1中斷SETB TR1CLR AMOV1AH,#03H;ABSETB F0;初始標(biāo)識(shí)位MOV1BH,#02H;BMOV R1,#10節(jié);拍初始MOV1CH,#06H;BCMOV R5,#08HMOV1DH,#04H;CMOV1EH,#0CH;CD相序表MOV1FH,#08H;DMOV10H,#08H;D正轉(zhuǎn);建

15、立變量緩沖區(qū)(30H37H)MOV11H,#0CH;DC;顯示 SPEED=00MOV12H,#04H;C*OV13H,#06H;CBMOV DATA_1,#00HMOV14H,#02H;BMOV DATA_1+1,#00HMOV15H,#03H;BAMOV DATA_1+2,#12HMOV16H,#01H;AMOV DATA_1+3,#17HMOV17H,#09H;ADMOV DATA_1+4,#16HMOV18H,#09H;AD反轉(zhuǎn)MOV DATA_1+5,#16HMOV19H,#01H;AMOV DATA_1+6,#15HMOV DATA_1+7,#14HLOOP:MOV R7,#DIS

16、CONMOV R2,#10H通過(guò)查表建立顯示緩沖區(qū)MOV R3,#WSLA;(20H27H)MOV R0,#DISDAMOV DP TR,#LEDSEGLCALLWRNBYTMOV R7,#DISCONLCALLDELAYMOV R0,#DISDASJMP;等待中斷MOV R1,#DATA_1MOVA,R1MOVCA,A+D PTRMOVR0,AINCR1INCR0LOOP1:字形碼LEDSEG:DB 0FCH,60H,0DAH,0F2HDB 66H,0B6H,0BEH,0E4HDB 0FEH,0F6H,0EEH,3EHDJNR7,LO OP1DB 9CH,7AH,9EH,8EHDB 0FAH

17、,1EH,12H,00H寫(xiě)入數(shù)據(jù)DB 0B6H,0CEH,0DEH,7AH;S P E DMOV TH1,#60H選擇相速(INT1MOV TL1,#60H;檔 2;不同按鍵設(shè)置不同速度,;正反轉(zhuǎn)各設(shè)四檔TI_INT:P USbACCMOV A,29H ;判斷鍵值J0:CJNE A,#00H,J1SETB F0SJMP OUTPUTMOVTH1,#00HMOVTL1,#00HSETBF0J3: CJNE A,#03H,J4SJMP;F0高電平正轉(zhuǎn)OUT PU輸出步進(jìn)MOVTH1,#90HMOVTL1,#90H;檔 3SETBF0SJMPOUTPUTJ1:CJNE A,#01H,J2J2:CJN

18、E A,#02H,J3J4: CJNE A,#04H,J5MOVTH1,#00HMOVTH1,#0D0HMOVTL1,#00H;檔 1MOVTL1,#0D0H ;檔 4SETBF0SETBF0SJMPOUTPUTSJMPOUTPUTJ5: CJNE A,#05H,J6MOVTH1,#00HSJMP OUTPUTMOVTL1,#00H;檔 1OUTP UT:MOVP1;步進(jìn)電機(jī)轉(zhuǎn)CLRF0ANLA,#0FH;取低四位SJMPOUTPUTMOV A,R1CJNE A,#06H,J7MOV P 1,AMOVTH1,#60HINC R1MOVTL1,#60H;檔 2DJNR5,OUTCLRF0JBF0

19、,CWIS判;斷正/反SJMPOUTPUTMOV R1,#18H;反轉(zhuǎn)CJNE A,#07H,J8SJMP NUMMOVTH1,#90HCWISE: MOV R1,#10H 正轉(zhuǎn)MOVTL1,#90H;檔 3NUM: MOV R5,#08HCLRF0OUTPO P ACCSJMPOUTPUTRETIMOV TH1,#0D0HMOVTL1,#0D0H;檔 4 * 1 1CLRF0拆分J6:J7:J8:RETCF: P USH02H *延時(shí)程序P USHDPHP USHDPLDELAY:P USH00HMOVDP TR,#LEDSEGP USH01HMOVR2,AMOVR0,#00HANLA,#0

20、FHDELAY1: MOVR1,#00HMOVCA,A+D PTRDJNZMOVR3,A ;存低4位R1,$MOVA,R2DJNZR0,DELAY1POP01HSWA PPOP00HANLA,#0FHRETMOVCA,A+D PTRMOVR4,A ;存高4位7290中斷POPDPLPOPDPHINT_7290: P USH 00HPOP02HPUSH 02HSJMP PANDUANP USH03HAKEY1:JCINPUTP USH04H;此時(shí)為檔速設(shè)疋鍵P USH07HSJMP RESTARTP USHACCINP UT:CJNE A,#05H,NEXTP USHPSW;判斷正/反轉(zhuǎn)JNCW

21、ISENEXT:LCALL DELA按鍵消抖LCALLCFLCALL RDKEY讀鍵值MOVNOP用于觀察讀入鍵值20H,R3MOV21H,R4CJNE A,#10H,AKEY1MOV21H,#0FCHP ANDUAN: SETB PT1 ;標(biāo)志位;0,正轉(zhuǎn)表示AKEY:,用于停止后再繼續(xù)工作SJMPOVERJBP 32$ ;查詢(xún)WISE:SUBBA,#04HLCALL DELAYLCALLCFLCALL RDKEYMOV 20H,R3CJNE A,#0AH,AKEY1MOV 21H,R4;交換優(yōu)先級(jí),CLR PX0MOV 21H,#60H;1,反轉(zhuǎn)表示讀鍵值SJMP OVERRESTARTC

22、ALL CFRDKEY:MOVR0,#28HMOV 20H,R3MOVR7,#04HMOV 21H,R4MOVR2,#00HOVER: LCALL SHOWMOVR3,#WSLASETB PX0MOVR4,#RSLA;重新將INT0的優(yōu)先級(jí)置高LCALLRDADDPOPPSWMOVA,29HPOPACCRETPOP07HPOP04H顯示程序POP03HPOP02HSHOW: MOVR7,#02HPOP00HMOVR2,#10HRETIMOVR3,#WSLA、填空題頁(yè)腳內(nèi)容-35 -MOVR0,#DISDALCALLWRNBYTLCALLDELAYRET *;通用的I2C通訊子程序(略) *END8 系統(tǒng)調(diào)試運(yùn)行結(jié)果說(shuō)明、分析所出現(xiàn)得問(wèn)題,設(shè)計(jì)體會(huì)與建議8.1系統(tǒng)調(diào)試運(yùn)行結(jié)果運(yùn)行程序后,LED顯示屏上顯示“ speed=00。按下對(duì)應(yīng)按鍵后,LEDS示屏與步進(jìn)電機(jī)狀態(tài)如下表所示。按鍵LED顯示屏步進(jìn)電機(jī)無(wú)(初始化)speed=00初始速度S1speed=01正轉(zhuǎn)檔1S2speed=02正轉(zhuǎn)檔2S3speed=03正轉(zhuǎn)檔3S4speed=04正轉(zhuǎn)檔4S

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論