中國地質(zhì)大學(xué)機(jī)電學(xué)院暑期電路綜合實(shí)習(xí)報(bào)告_第1頁
中國地質(zhì)大學(xué)機(jī)電學(xué)院暑期電路綜合實(shí)習(xí)報(bào)告_第2頁
中國地質(zhì)大學(xué)機(jī)電學(xué)院暑期電路綜合實(shí)習(xí)報(bào)告_第3頁
中國地質(zhì)大學(xué)機(jī)電學(xué)院暑期電路綜合實(shí)習(xí)報(bào)告_第4頁
中國地質(zhì)大學(xué)機(jī)電學(xué)院暑期電路綜合實(shí)習(xí)報(bào)告_第5頁
已閱讀5頁,還剩28頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、電路綜合實(shí)習(xí)報(bào)告 姓 名: 組 別: 學(xué) 號: 班 號: 專 業(yè): 通信工程 指導(dǎo)老師: 郝國成,張曉峰,聞?wù)缀?,王國洪,吳讓?李杏梅,王巍2014.7機(jī)械與電子信息學(xué)院 目錄實(shí)驗(yàn)一 函數(shù)發(fā)生器-3實(shí)驗(yàn)二 多功能數(shù)字鐘電路設(shè)計(jì)-12 實(shí)驗(yàn)三、自動量程轉(zhuǎn)換電壓表-21實(shí)習(xí)總結(jié)-31參考文獻(xiàn)-32 實(shí)驗(yàn)一 函數(shù)發(fā)生器一、任務(wù)及要求 任務(wù):一個(gè)電路同時(shí)產(chǎn)生正弦波、三角波、方波 要求:正弦波幅度不小于1V; 三角波不小于5V; 方波不小于14V; 頻率可調(diào): 范圍分為三段:10HZ100HZ;100HZ1KHZ;1KHZ10KHZ 主要性能指標(biāo): 出信號的幅度準(zhǔn)確穩(wěn)定 輸出信號的頻率準(zhǔn)確較穩(wěn)定 二、

2、方案論證分析與設(shè)計(jì)產(chǎn)生正弦波、方波、三角波的方案有多種,實(shí)習(xí)時(shí),對于三種方案進(jìn)行了比較。方案一:基本原理:通過運(yùn)算放大器與電阻組成的電壓比較器,產(chǎn)生方波。比較器輸出的方波隨后進(jìn)入積分器,比較器與積分器首尾相連,形成閉環(huán)電路,產(chǎn)生三角波。三角波正弦波的變換主要有差分放大器來完成。差分放大器可將頻率很低的三角波變換成正弦波。波形變換的原理是利用差分放大器傳輸特性的非線性。方案二: ICL8038芯片 電路圖基本原理:ICL8038芯片內(nèi)部有兩個(gè)比較器,比較器的基準(zhǔn)電壓由內(nèi)部電阻分壓網(wǎng)絡(luò)提供。電阻R1與電位器RP1用來確定直流電位V8。V8越高,IA、IB越小,輸出頻率越低,反之亦然。因此,ICL8

3、038又稱為壓控振蕩器(VCO)或頻率調(diào)制器(FM)。RP1可調(diào)節(jié)的頻率范圍為20Hz20kHz。 方案三:基本原理:555定時(shí)器接成多諧振蕩器工作形式,C3為定時(shí)電容,C3的充電回路是Rp1R6C3;C3的放電回路是C3R6IC的7腳。充電時(shí)間常數(shù)與放電時(shí)間常數(shù)近似相等,由IC的3腳輸出的是近似對稱方波。調(diào)節(jié)電位器RP可改變振蕩器的頻率。方波信號經(jīng)積分網(wǎng)絡(luò)后,輸出三角波。三角波再經(jīng)積分網(wǎng)絡(luò),輸出近似的正弦波。經(jīng)過分析后,結(jié)合實(shí)習(xí)所發(fā)器材,集成運(yùn)放是一種高增益放大器,只要加入適當(dāng)?shù)姆答伨W(wǎng)絡(luò),利用正反饋原理,滿足振蕩條件,就可以構(gòu)成方波-正弦波-三角波等各種振蕩電路。由于差分放大器具有工作點(diǎn)穩(wěn)定

4、,輸入阻抗高、抗干擾能力強(qiáng)等優(yōu)點(diǎn)。做直流放大器時(shí),可以有效的抑制零點(diǎn)漂移,因此可將頻率很低的三角波變換成正弦波,由于本實(shí)驗(yàn)要求最低頻率為10Hz,并且變化的范圍較大,對于方案二,由于芯片的造價(jià)太高,不實(shí)際,因此我們最終選用了方案一,電路圖如下:方波-三角波電路:運(yùn)放A1與R1、 R2 、R3、 RP1組成電壓比較器,R1為平衡電阻。運(yùn)放的反相端接基準(zhǔn)電壓,即V=0; 同相端接輸入電壓via;比較器的輸出vo1的高電平等于正電源電壓+VCC,低電平等于負(fù)電源電壓VEE。當(dāng)輸入端V+ =V- =0 時(shí),比較器翻轉(zhuǎn),V01從+Vcc跳到-Vee,或從-Vee跳到Vcc。運(yùn)放A2與R4、RP2、C2及

5、R5組成反相積分器,其輸入信號為方波Uo1時(shí),則輸出積分器的電壓為 比較器電壓傳輸特性 方波-三角波 三角波的幅度為: Vo2m =Vcc*R2/(R3+RP1)方波-三角波的波頻率為: f=(R3+RP1)/4R2 (R4+RP2) C2經(jīng)分析可知方波的幅度由+Vcc 和 Vee決定;調(diào)節(jié)電位器RP1,可調(diào)節(jié)三角波的幅度,但會影響其頻率;調(diào)節(jié)電位器RP2,可調(diào)節(jié)方 波-三角波 的頻率,但不會影響其幅度,可用 RP2實(shí)現(xiàn)頻率微調(diào),而用C2改變頻率范圍。三角波正弦波電路:三角波正弦波的變換主要有差分放大器來完成。差分放大器具有工作點(diǎn)穩(wěn)定,輸入阻抗高、抗干擾能力強(qiáng)等優(yōu)點(diǎn)。波形變換的原理是利用差分放

6、大器傳輸特性的非線性。其中RP1調(diào)節(jié)三極管的幅度,RP2調(diào)整電路的對稱性,其并聯(lián)電阻R用來減少差分放大器的線性區(qū)。電容C3、C4、C5為隔直電容,C6為濾波電容,以減少濾波分量,改善輸出波形。三角波正弦波電路的參數(shù)選擇原則是:隔直電容C3、C4、C5要取得較大,因?yàn)檩敵鲱l率很低,取C3= C4= C5=470f,濾波電容C6的取值視輸出的波形而定,若含高次諧波成分較多,則C6一般為幾十皮法至0.1mF。RE2=100W與RP4=100W相并聯(lián),以減小差分放大器的線性區(qū)。仿真電路圖:三、元件清單與常用芯片引腳元件型號數(shù)量運(yùn)放2741三極管2N39034電阻2001(R9)2K2 (R10、11)

7、5.1K1 (R4)8K1 (R13)8.8k1 (R12)10K5 (R1、2、5、7、8)20k1 (R3)20.8K1 (R6)電位器200、47k、100k、200k各1個(gè)電解電容100nF1 (C6)150nF1 (C2)1uF2 (C1、5)10uF1 (C3)470uF1 (C7)開關(guān)單刀單擲(單刀三擲)3 (1) UA741芯片圖:四、調(diào)試過程與結(jié)果方波-三角-正弦波函數(shù)發(fā)生器電路是由三級單元電路組成的,在裝調(diào)多級電路時(shí),我們按照單元電路的先后順序進(jìn)行分級裝調(diào)與級聯(lián)。首先運(yùn)用示波器檢驗(yàn)方波能否正常出現(xiàn),若未出現(xiàn)正常方波,則說明第一個(gè)運(yùn)放電路模塊出現(xiàn)問題,應(yīng)該對照電路圖仔細(xì)檢查電

8、路的焊接是否完整無誤,可能出現(xiàn)短路、斷路等問題造成方波無法出現(xiàn)。然后檢查741芯片是否損壞。接著檢驗(yàn)三角波的產(chǎn)生,檢查電路后,調(diào)節(jié)RP1,通過微調(diào),使三角波波幅值形的符合要求。前兩個(gè)模塊檢查無誤后,檢查正弦波的產(chǎn)生,微調(diào)RP3和RP4,使正弦波波形與幅值符合要求。調(diào)解過程中,很容易發(fā)現(xiàn)正弦波波形發(fā)生失真,鐘形失真、半波圓頂或平頂失真以及非線性失真都有可能發(fā)生,需要調(diào)節(jié)相應(yīng)的電阻。在我們小組調(diào)試過程中,我們發(fā)現(xiàn)最開始的方波沒有出現(xiàn),然后開始檢查電路,用萬用表對電路進(jìn)行是否斷路、短路的檢測,最終發(fā)現(xiàn)電路里面,有兩處焊接不牢,電路斷路,我們馬上把斷路的地方焊接上去,但是發(fā)現(xiàn)示波器上的波形還是有問題。

9、然后我們就對741芯片那一小塊電路進(jìn)行檢測,發(fā)現(xiàn)741芯片不能正常工作,就是芯片出現(xiàn)問題。經(jīng)過和同學(xué)們討論,發(fā)現(xiàn)很多小組都是因?yàn)閁A741芯片的問題導(dǎo)致方波無法出現(xiàn)。我們小組覺得可能是因?yàn)殚_始調(diào)試的時(shí)候,輸入電壓過大,導(dǎo)致UA741芯片被燒壞,以至于后面的波形都無法出現(xiàn)。更換芯片之后,方波出現(xiàn),后面的三角波和正弦波也順利出現(xiàn),但是正弦波的幅值不符合要求,小于要求的幅值。于是我們對電位器RP3和RP4進(jìn)行調(diào)節(jié),使示波器上的正弦波幅值變化。只要電路接線正確,上電后,U01的輸出為方波,U02的輸出為三角波,微調(diào)RP1,使三角波的輸出幅度滿足設(shè)計(jì)指標(biāo)要求,調(diào)節(jié)RP2,則輸出頻率在對應(yīng)波段內(nèi)連續(xù)可變.

10、 得到的波形如下圖:五、數(shù)據(jù)測試與分析得到下列測試結(jié)果:波形峰峰值方波7.68V三角波3.56V正弦波1.07V六、心得體會這是暑期綜合實(shí)習(xí)的第一個(gè)實(shí)驗(yàn),相對來說比較簡單,第一個(gè)實(shí)驗(yàn)的完成給我增添了信心。通過這一個(gè)實(shí)驗(yàn)的鍛煉,我明白了完成一個(gè)項(xiàng)目,首先應(yīng)該設(shè)計(jì)電路圖,一定要明白電路的原理,只有明白的原理,才能夠在后來的焊接與調(diào)試過程中找到錯誤,順利的完成。在焊接過程中,要有耐心,認(rèn)真的進(jìn)行布線,避免造成電路短路與斷路。焊接完成后,需要對整體電路進(jìn)行檢查。調(diào)試過程中,通過與同學(xué)交流,我學(xué)會分級檢查,應(yīng)用示波器、萬用表等工具檢查電路工作情況。同時(shí),復(fù)習(xí)了一部分模電知識,通過看書,將之前的模電知識又

11、溫習(xí)了一遍,深刻體會到課余時(shí)間也應(yīng)該多復(fù)習(xí)之前學(xué)過的知識,避免忘記。最重要的一點(diǎn),就是我們做任何事,都應(yīng)該心平氣和,耐心的完成每一步,不能急功近利,這樣反而得不償失,容易出錯。 實(shí)驗(yàn)二 多功能數(shù)字鐘電路設(shè)計(jì)一、任務(wù)及要求 主要性能指標(biāo):1 計(jì)時(shí)準(zhǔn)確2 時(shí)間顯示正常,時(shí)為24進(jìn)制,分秒為60進(jìn)制,能正常進(jìn)位和清零3 校準(zhǔn)電路能按需靈敏地校準(zhǔn)分和時(shí) 學(xué)習(xí)要求: 掌握數(shù)字電路系統(tǒng)的設(shè)計(jì)方法、裝調(diào)技術(shù)及數(shù)字鐘的功能擴(kuò)展電路的設(shè)計(jì)。 基本功能: 準(zhǔn)確計(jì)時(shí); 以數(shù)字形式顯示時(shí)、分、秒; 小時(shí)的計(jì)時(shí)要求為“24進(jìn)制”,分、秒計(jì)時(shí)要求為60進(jìn)制; 能夠校正時(shí)間。 擴(kuò)展功能: 定時(shí)(鬧時(shí))控制,時(shí)間可自行設(shè)定;

12、 仿廣播電臺整點(diǎn)報(bào)時(shí); 報(bào)整點(diǎn)時(shí)數(shù); 二、方案設(shè)計(jì)數(shù)字鐘電路系統(tǒng)的組成框圖: (1)振蕩器 本次實(shí)驗(yàn)中,我們采用的振蕩器為555振蕩器,555定時(shí)器與RC構(gòu)成的多諧振蕩器可以產(chǎn)生1KHz的方波信號,作為時(shí)間標(biāo)準(zhǔn)信號源。利用555和3個(gè)電阻、兩個(gè)電容完成電路。其中Cf為剛干擾電容,設(shè)計(jì)電路前根據(jù)相關(guān)頻率計(jì)算公式計(jì)算R1 R2 C的具體取值并通過示波器的顯示來進(jìn)行微調(diào) f=1/T=1.43/(R1+2*R2)*C (2)分頻器分頻器74LS90在級聯(lián)使用時(shí)一定注意各個(gè)引腳的連接,從555振蕩器輸出的波頻率為1KHz,經(jīng)過一個(gè)74LS90芯片后,頻率變?yōu)?00Hz,再經(jīng)過一74LS90芯片后,頻率變

13、為10Hz,依次類推,經(jīng)過最后一個(gè)74LS90芯片時(shí),頻率為1Hz,實(shí)現(xiàn)了分頻。(2)時(shí)間計(jì)數(shù)器電路 時(shí)間計(jì)數(shù)電路由秒個(gè)位和秒十位計(jì)數(shù)器、分個(gè)位和分十位計(jì)數(shù)器及時(shí)個(gè)位和時(shí)十位計(jì)數(shù)器電路構(gòu)成,其中秒、分為60進(jìn)制計(jì)數(shù)器,而根據(jù)設(shè)計(jì)要求,時(shí)為12進(jìn)制計(jì)數(shù)器。60進(jìn)制計(jì)數(shù)器由兩片74LS90構(gòu)成六十進(jìn)制計(jì)數(shù)器,聯(lián)接方式如下圖,將一片74LS90作為個(gè)位,另一片74LS290作為十位。秒計(jì)數(shù)器的十位和個(gè)位,輸出脈沖除用作自身清零外,同時(shí)還作為分計(jì)數(shù)器的輸入脈沖CP0。下圖電路即可作為秒計(jì)數(shù)器,也可作為分計(jì)數(shù)器。24進(jìn)制計(jì)數(shù)器由兩片74LS290構(gòu)成的二十四進(jìn)制計(jì)數(shù)器,連接圖如下:將一片74LS290作

14、為個(gè)位,另一片作為十位,當(dāng)個(gè)位值是4,同時(shí)十位值是2的時(shí)候,兩片同時(shí)清零。(3)校時(shí)電路數(shù)字種啟動后,每當(dāng)數(shù)字鐘顯示與實(shí)際時(shí)間不符進(jìn),需要根據(jù)標(biāo)準(zhǔn)時(shí)間進(jìn)行校時(shí)。?!懊搿睍r(shí),采用等待校時(shí)。?!胺帧?、“時(shí)”的原理比較簡單,采用加速校時(shí)。對校時(shí)電路的要求是 :在小時(shí)校正時(shí)不影響分和秒的正常計(jì)數(shù) ;在分校正時(shí)不影響秒和小時(shí)的正常計(jì)數(shù) 。當(dāng)閉合開關(guān)時(shí),因?yàn)樾U盘柡?相與的輸出為0,而開關(guān)的另一端接高電平,正常輸入信號可以順利通過與或門,故校時(shí)電路處于正常計(jì)時(shí)狀態(tài);當(dāng)開關(guān)打向上時(shí),情況正好與上述相反,這時(shí)校時(shí)電路處于校時(shí)狀態(tài)。與非門可選74LS00,非門則可用與非門2個(gè)輸入端并接來代替節(jié)省芯片。因此實(shí)際

15、使用時(shí),須對開關(guān)的狀態(tài)進(jìn)行消除抖動處理,加2個(gè)0.01uF的電容,在此次試驗(yàn)中,采取撥碼開關(guān)可以更好消除抖動,也更方便快捷。 (4)譯碼器 譯碼電路的功能是將秒、分、時(shí)計(jì)數(shù)器的輸出代碼進(jìn)行翻譯,變成相應(yīng)的數(shù)字。用與驅(qū)動LED七段數(shù)碼管的譯碼器常用的有74HC4511。74HC4511是BCD-7段譯碼器,用于驅(qū)動LED七段共陰極顯示數(shù)碼管。若將秒、分、時(shí)計(jì)數(shù)器的每位輸出分別送到相應(yīng)七段譯嗎管的輸入端便可以進(jìn)行不同數(shù)字的顯示。由于4511的輸出較大,因此在譯碼管輸出與數(shù)碼管之間串聯(lián)電阻R作為限流電阻。 (5)顯示器 本系統(tǒng)用七段發(fā)光二極管來顯示譯碼器輸出的數(shù)字,顯示器有兩種:共陽極或共陰極顯示器

16、。4511譯碼器對應(yīng)的顯示器是共陰極顯示器。 整體仿真圖: 由于仿真軟件的原因,若將1Hz的方波接入電路圖,則會發(fā)現(xiàn)顯示器無法顯示,故在仿真圖中,將1KHz的方波接入,但在實(shí)際焊接過程中,應(yīng)將1Hz方波接入。同時(shí)我發(fā)現(xiàn)仿真圖里面,在1Hz處接一個(gè)頻率計(jì),該頻率計(jì)無法顯示。擴(kuò)展電路(整點(diǎn)報(bào)時(shí)): 此圖上的數(shù)碼管,左邊的代表分位的十位,右邊的代表分位的個(gè)位 通過分鐘的兩個(gè)發(fā)光二極管上f和g兩段的熄滅控制蜂鳴器,當(dāng)分位變成“00”時(shí),f為高電平,g為低電平,將個(gè)位的f與十位的f再進(jìn)行與操作,從而產(chǎn)生報(bào)時(shí)控制信號,將結(jié)果經(jīng)過三極管輸出給蜂鳴器,就能完成整點(diǎn)報(bào)時(shí)功能,從整點(diǎn)開始報(bào)時(shí),蜂鳴器響一分鐘。若需

17、要減短蜂鳴器鳴叫時(shí)間,只需將秒位上的f,g和分位上得到的結(jié)果相與,輸出給蜂鳴器,即可減少鳴叫時(shí)間。選蜂鳴器為電聲器件,蜂鳴器是一種壓電電聲器件,當(dāng)其兩端加上一個(gè)直流電壓時(shí)酒會發(fā)出鳴叫聲,兩個(gè)輸入端是極性的,其較長引腳應(yīng)與高電位相連。三、常用芯片引腳圖 四、調(diào)試過程與結(jié)果電路焊接完成之后,首先應(yīng)該通電進(jìn)行檢查,利用電壓表與示波器檢測每個(gè)芯片是否正常工作,這是電路有效工作的基本保證。同時(shí)還應(yīng)該撥動開關(guān),觀察開關(guān)是否起作用。通過檢查,調(diào)試電路直至正常工作。調(diào)試可分為靜態(tài)調(diào)試和動態(tài)調(diào)試兩種,一般組合電路應(yīng)靜態(tài)調(diào)試,時(shí)序電路應(yīng)動態(tài)調(diào)試。調(diào)試時(shí)應(yīng)采取分級調(diào)試,分模塊調(diào)試。振蕩模塊、分頻模塊、計(jì)數(shù)模塊、顯示

18、模塊、校時(shí)模塊應(yīng)該分別檢查。當(dāng)我們小組焊完電路之后,我們將電路通電,發(fā)現(xiàn)數(shù)碼管都沒有跳動,撥動校時(shí)電路的開關(guān),數(shù)碼管上的數(shù)字依舊不動,同時(shí),數(shù)碼管上顯示的數(shù)字不完整,有的段沒有被點(diǎn)亮。通過分析電路,我們將數(shù)碼管那一塊的電路中某些點(diǎn)重新焊接了一遍,焊得更加牢固,再次通電,數(shù)碼管上的數(shù)字顯示完整了,但是,數(shù)碼管上的數(shù)字依舊不變。有了上一次實(shí)驗(yàn)的經(jīng)驗(yàn),我們小組先將秒針位置上的4511和7490芯片更換,發(fā)現(xiàn)依舊不動,這就排除了芯片的問題,然后,我們首先測試分頻電路,連上示波器,發(fā)現(xiàn)分頻部分能夠正常的工作,最后輸出為小于10Hz的波,故排除分頻部分的故障。接下來,繼續(xù)檢查??粗酒_圖,我們發(fā)現(xiàn)由于

19、仿真圖上有一些應(yīng)該接地與VCC的芯片引腳被隱藏,導(dǎo)致我們焊接時(shí),忘記將這兩個(gè)引腳焊接上去,就導(dǎo)致芯片不工作。于是我們再次對照芯片圖焊接,但是發(fā)現(xiàn)數(shù)碼管上數(shù)字還是不動。我們利用實(shí)驗(yàn)室的設(shè)備波形發(fā)生器,將波形信號直接接入分位的信號輸入端,我們驚喜的發(fā)現(xiàn)此時(shí)分位與時(shí)位跳動了,這就說明秒位計(jì)數(shù)出現(xiàn)問題,于是我們開始檢查秒位的電路。用示波器檢測74LS90芯片與4511芯片各個(gè)腳的電位,對照真值表,沒有發(fā)現(xiàn)問題。但是經(jīng)過論證,問題確實(shí)出現(xiàn)在這一塊,然后通過請教王巍老師,在王巍老師的幫助下,我們終于找到了原因,電路發(fā)生了短路。一根外面有膠套的電線由于膠套被燙壞,和下面的一根裸線短路,導(dǎo)致問題發(fā)生。找出問題

20、后,我們立即修正問題,數(shù)字鐘正常工作了。拓展電路比較簡單,調(diào)試也比較很順利。五、心得體會這次實(shí)驗(yàn),焊接電路確實(shí)比較麻煩。芯片多,布線復(fù)雜。在焊接時(shí),非常考驗(yàn)小組成員間的配合與合作。焊接時(shí),一定要細(xì)心,注意每個(gè)腳的布局走線。由于剛開始我們組沒準(zhǔn)備用杜邦線,開始時(shí)就已將芯片的幾個(gè)引腳焊接完畢,所以導(dǎo)致后來我們臨時(shí)決定用杜邦線時(shí),我們無法非常順利的焊接排針,反而因此費(fèi)了許多功夫,耽誤里時(shí)間,耗費(fèi)了精力。這次實(shí)驗(yàn)過程中,我對于數(shù)字鐘有了更深刻的體會。我發(fā)現(xiàn)許多科目的知識點(diǎn)都是相似的,比如在EDA實(shí)習(xí)中,也出現(xiàn)了數(shù)字鐘這一題目,只要我們了解了原理,數(shù)字鐘在哪個(gè)科目中應(yīng)用都是相似的。因此課余時(shí)間里,我們應(yīng)

21、該將各科知識融會貫通,形成自己的知識體系。同時(shí),做事情時(shí),有耐心、有毅力也是非常重要的,這個(gè)實(shí)驗(yàn)原理比較簡單,最困難的就是焊接部分,因此在實(shí)習(xí)過程中,要抓住機(jī)會,鍛煉自己的動手能力,面對密密麻麻的芯片引腳時(shí),認(rèn)認(rèn)真真的完成每一次焊接。 實(shí)驗(yàn)三、自動量程轉(zhuǎn)換電壓表一、任務(wù)及要求:1.實(shí)驗(yàn)內(nèi)容:設(shè)計(jì)并制作數(shù)字電壓表2.基本要求: (1)測量電壓范圍為:0V20V直流電壓 (2)電壓測量范圍分為0.2V,2V,20V三檔,量程自動切換 (3)顯示精度:0.01V,顯示穩(wěn)定,無閃爍 (4)測量誤差0.2V檔10%,2V和20V檔1% 發(fā)揮部分:測量交流電壓有效值,測量范圍05V二、方案論證與分析1.

22、模擬數(shù)據(jù)采集模塊將待測電壓進(jìn)行適當(dāng)?shù)姆糯蠛涂s小,保證ADC0809接收的電壓在05V,并能夠由單片機(jī)自動控制選擇輸出,從而在不同量程都能提供給ADC0809合適的電壓值,提高其測量精度。輸入電阻首先對待測電壓進(jìn)行五分壓,通過運(yùn)放組成的同向電壓跟隨器,輸入ADC0809,0809向單片機(jī)提供模數(shù)轉(zhuǎn)換結(jié)果,由單片機(jī)判斷量程。這里需注意的是,在調(diào)試過程里,最開始就應(yīng)該將第一個(gè)電位器調(diào)到阻值五分之一處,保證測量的準(zhǔn)確。2.AC-DC轉(zhuǎn)換模塊:該模塊采用AD0809的模數(shù)轉(zhuǎn)換功能來完成對所測的電壓的AC-DC的轉(zhuǎn)換。ADC0809是將輸入的模擬值轉(zhuǎn)化為8位二進(jìn)制值輸出,也就是對一個(gè)模擬量進(jìn)行量化采用逐次

23、逼近的方法近似為數(shù)字量。由于AD0809仿真有問題,我們用AD0808進(jìn)行代替。由于單片機(jī)晶振為6MHz,ALE引腳輸出為1MHz,然后通過D觸發(fā)器進(jìn)行二分頻,使頻率變?yōu)?00KHz,再輸入給AD0809,使其符合AD0809的工作頻率。3.譯碼顯示模塊顯示模塊有兩種方案方案一: 該模塊由非門74LS04、譯碼器4511和四個(gè)七段數(shù)碼管組成;主要結(jié)合程序?qū)崿F(xiàn)動態(tài)掃描,單片機(jī)P0口的低四位通過非門來控制片選B1B2B3B4,另外P0.7口通過非門來控制小數(shù)點(diǎn)dp,由于控制小數(shù)點(diǎn)dp的電平過低,故加上一上拉電阻來提高電平。方案二: 該模塊用1602液晶模塊實(shí)現(xiàn),功能比數(shù)碼管的功能要強(qiáng)大,其顯示的均

24、字符,可靈活的實(shí)現(xiàn)讀寫和編指令的操作,較為方便和靈活。經(jīng)過分析,結(jié)合老師所發(fā)材料,我們決定采用第一種較為經(jīng)濟(jì)的方案。51單片機(jī)對ADC0809的輸入電壓的數(shù)字信息進(jìn)行量程判斷及數(shù)值運(yùn)算之后,要將電壓的數(shù)值送給顯示模塊進(jìn)行顯示。顯示器采用七段數(shù)碼管,采用動態(tài)顯示,均可將采集到的電壓值顯示出來。三、方案設(shè)計(jì)自動調(diào)擋模塊: 利用芯片LM324和模擬開關(guān)4051并結(jié)合程序?qū)崿F(xiàn)自動調(diào)擋。保證此模塊輸出到ADC0809的電壓值維持在0-5V,并對0-20V待測電壓用電位器進(jìn)行分壓。此方案的自動換擋在硬件和軟件中都有所體現(xiàn),在硬件中,其輸入直接經(jīng)過LM324放大后到達(dá)ADC0809的通路一,另外一路經(jīng)過電位

25、器先衰減為原來的十分之一后在經(jīng)過LM324到達(dá)通路0,經(jīng)過軟件的判斷后經(jīng)數(shù)碼管顯示。在軟件中判斷是否超過2V的基準(zhǔn)電壓,然后實(shí)現(xiàn)自動換擋。ADC0809模數(shù)轉(zhuǎn)換模塊: ADC0809是將輸入的模擬值轉(zhuǎn)化為8位二進(jìn)制值輸出,也就是對一個(gè)模擬量進(jìn)行量化采用逐次逼近的方法近似為數(shù)字量。由于每次都從IN-0和IN-1口輸入電壓值,所以其余六個(gè)入口都是空置的,所以ADC0809的三個(gè)地址輸入口要接地。從IN-0口輸入的電壓值范圍0-5V,所以ADC0809采取2V的標(biāo)準(zhǔn)電壓,以待量化進(jìn)行數(shù)模轉(zhuǎn)換。ADC0809的工作是通過單片機(jī)C51中的程序控制的,當(dāng)ADC0809的START=1,ALE=1時(shí)啟動模數(shù)

26、轉(zhuǎn)換,此時(shí)EOC=0;轉(zhuǎn)化結(jié)束后EOC=1,若OE=1,則允許單片機(jī)從ADC0809中讀取數(shù)據(jù),讀取結(jié)束后,OE=0.單片機(jī)C52模塊: 該模塊主要是通過程序來實(shí)現(xiàn)自動調(diào)檔、模數(shù)轉(zhuǎn)換、顯示三個(gè)功能。另外,還有復(fù)位電路和產(chǎn)生頻率的晶振電路。顯示模塊 實(shí)際焊接過程中,由于提供的是4051芯片和數(shù)碼管,我們決定用動態(tài)掃描,4051譯碼進(jìn)行段選,通過非門連接數(shù)碼管的兩個(gè)接地端,進(jìn)行位選。另外P0.7口通過非門來控制小數(shù)點(diǎn)dp,由于控制小數(shù)點(diǎn)dp的電平過低,故加上一上拉電阻來提高電平。電路仿真圖:四、元件清單與常用芯片圖名 稱數(shù) 量電阻100,10k,4.7k,5.6k若干電容22UF1個(gè)33PF2個(gè)電

27、位器數(shù)量1042個(gè)1051個(gè)開關(guān)1個(gè)6M晶振1個(gè)七段數(shù)碼管4個(gè)LM3241片模擬開關(guān)40511片D觸發(fā)器74LS741片ADC08091片89C511片CD45111片74ls041片 LM324 4051 74LS74五、調(diào)試過程與結(jié)果這次實(shí)驗(yàn)依舊采用分級調(diào)試的方法,分模塊調(diào)試模擬數(shù)據(jù)采集模塊、AC-DC轉(zhuǎn)換模塊、譯碼顯示模塊。檢測模擬數(shù)據(jù)采集模塊時(shí),先斷開4051模擬開關(guān),直接將反饋電阻接到運(yùn)放(LM324)反相端。然后根據(jù)模電知識,計(jì)算得到模擬開關(guān)前的兩個(gè)電位器應(yīng)該分別調(diào)到90K歐、990K歐才能使放大倍數(shù)符合實(shí)驗(yàn)要求。檢測AD轉(zhuǎn)換模塊時(shí),同時(shí)需要檢測單片機(jī)的ALE腳輸出頻率是否正確,由

28、于晶振非常容易壞掉,故時(shí)刻應(yīng)小心檢測單片機(jī)模塊。在檢測譯碼顯示模塊時(shí),要對照芯片圖,由于這一部分線比較多,故應(yīng)該耐心的檢查。當(dāng)我們小組焊接完畢,對電路通電之后,發(fā)現(xiàn)數(shù)碼管上一直顯示25.00,沒有變化,由于第二次實(shí)驗(yàn)的教訓(xùn),我們將所有芯片的VCC和接地的引腳全部檢查了一遍,發(fā)現(xiàn)沒有漏掉任何一個(gè)腳。于是,通過和同學(xué)們交流,發(fā)現(xiàn)有好幾組和我們情況都一樣,經(jīng)過商量,我們發(fā)現(xiàn)D觸發(fā)器的接線出現(xiàn)了問題,應(yīng)該將D觸發(fā)器的5、6引腳接線變換,我們獎接線進(jìn)行改動之后,示數(shù)終于變化了,然后就開始調(diào)節(jié)電位器。首先將輸入電壓調(diào)成20V,然后調(diào)節(jié)最開始的電位器,使數(shù)碼管顯示在20.00左右,然后將電壓稍調(diào)小一點(diǎn),發(fā)現(xiàn)

29、大量程的時(shí)候,數(shù)碼管示數(shù)與標(biāo)準(zhǔn)電壓相差不大,于是,這個(gè)電位器就固定了,開始調(diào)節(jié)104和105電位器。經(jīng)過調(diào)試我們發(fā)現(xiàn)小量程這一部分是最難調(diào)的,要求特別精確,否則會造成相對誤差很大。微調(diào)電位器,使顯示的數(shù)據(jù)與標(biāo)準(zhǔn)電壓更加接近。調(diào)節(jié)好電位器后,我們發(fā)現(xiàn)數(shù)碼管上顯示時(shí)有些抖動,于是,我們修改了延時(shí),起到了一定的效果。測量結(jié)果實(shí)際電壓標(biāo)準(zhǔn)電壓00.2V0.040V0.046V0.075V0.076V0.095V0.103V0.115V0.137V0.135V0.150V0.2V2V0.260V0,.233V0.380V0.372V0.505V0.476V1.235V1.196V1.730V1.723V

30、2V20V2.35V2.32V3.60V3.49V8.40V8.37V10.00V9.90V12.45V12.36V15.15V15.28V17.60V17.45V19.60V19.62V故所測得的數(shù)據(jù)均滿足題目要求所允許的最大誤差。六、源程序#include <reg52.H> #define uchar unsigned char;uchar code scan_con=0x08,0x04,0x02,0x01; /定義掃描片選uchar dispbuf8=0,0,0,0,0,0,0,0; /定義8個(gè)存儲空間 uchar getdata; unsigned long int te

31、mp;/定義暫存空間uchar i,j,k,l,m;int a,b;sbit s3=P37; /位定義,控制模擬開關(guān)sbit s2=P36;sbit s1=P35;sbit dp=P07; /定義小數(shù)點(diǎn)#define v20_on s3=0;s2=0;s1=0; /宏定義不同量程,不同的開關(guān)狀態(tài)#define v2_on s3=0;s2=0;s1=1;#define v02_on s3=0;s2=1;s1=0;sbit ST=P31; /定義單片機(jī)和ADC的控制信號sbit OE=P33; sbit EOC=P32;delay_ms(int n) for(a=n;a>0;a-) for(

32、b=500;b>0;b-);main(void) while(1) _20v: /220V量程 v20_on; ST=0; /啟動A/D轉(zhuǎn)換 ST=1; ST=0; while(EOC=0); OE=1; getdata=P1; OE=0; if(getdata<21) /量程不合適,切換 goto _2v; delay_ms(10); l=3; temp=getdata; /量程合適,數(shù)據(jù)處理 temp=temp*100; temp=(temp/51)*5; goto disp; /跳到數(shù)碼管顯示程序段 _2v: /200MV2V量程 v2_on; ST=0; ST=1; ST=

33、0; while(EOC=0); OE=1; getdata=P1; OE=0; if(getdata<21) goto _02v; delay_ms(10); else if(getdata>204) goto _20v; delay_ms(10); l=2; temp=getdata; temp=(temp*100/51)*10; temp=temp/2; /求出模擬待測電壓; goto disp; _02v: /0200MV量程 v02_on; OE=0; ST=0; ST=1; ST=0; while(EOC=0); OE=1; getdata=P1; OE=0; if(g

34、etdata>204) goto _2v;delay_ms(10); l=1; temp=getdata; temp=(temp*100/51)*100; temp=temp/20; disp: dispbuf0=0;dispbuf1=0;dispbuf2=0;dispbuf3=0;dispbuf4=0;dispbuf5=0;dispbuf6=0;dispbuf7=0; i=0; while(temp/10)/電壓值的每個(gè)位計(jì) dispbufi=temp%10; temp=temp/10; i+; dispbufi=temp; for(k=0;k<=3;k+) /數(shù)碼管顯示 P2=dispbufk&0x0f; P0=scan_conk; if(l=3) if(k=2) dp=0; elsedp=1; /小數(shù)點(diǎn)的確定 else if(l=2) if(k=3) dp=0; elsedp=1; else if(l=1) if(k=3) dp=0; elsedp=1; elsedp=0; fo

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論