各種觸發(fā)器的VHDL程序_第1頁(yè)
各種觸發(fā)器的VHDL程序_第2頁(yè)
各種觸發(fā)器的VHDL程序_第3頁(yè)
各種觸發(fā)器的VHDL程序_第4頁(yè)
全文預(yù)覽已結(jié)束

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、題目:寫出帶異步復(fù)位邊沿(下降沿)JK觸發(fā)器的VHDL程序:LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;ENTITY jk1 isPORT (clk,R,S : INSTD_LOGIC; j,k: INSTD_LOGIC; q,qn : OUTSTD_LOGIC);END jk1;ARCHITECTURE one OF jk1 IS SIGNAL q_s : STD_LOGIC;BEGIN PROCESS (R,S,clk,j,k) BEGIN IF (R=1 AND S=0) THEN q_s=0; ELSIF(R=0 AND S=1) THEN q_

2、s=1; ELSIF clkEVENT AND clk=0 THEN IF (J=0 AND k=0) THEN q_s= q_s; ELSIF (J=0 AND k=1)THEN q_s=0; ELSIF (J=1 AND k=0)THEN q_s=1; ELSIF (J=1 AND k=1) THEN q_s=NOT q_s; END IF; END IF;END PROCESS;q=q_s;qn=not q_s;END one;基本RS觸發(fā)器entity rsff is port(r,s:in std_logic; q,qb:out std_logic);end rsff;archite

3、cture rtl of rsff is signal q_temp,qb_temp:std_logic;beginprocess(r,s) begin if(s=1 and r=0)then q_temp=1; qb_temp=0; elsif(s=0 and r=1)then q_temp=0; qb_temp=1; else q_temp=q_temp; qb_temp=qb_temp; end if; end process;q=q_temp;qb=qb_temp;end rtl;. 同步RS觸發(fā)器 library ieee;use ieee.std_logic_1164.all;en

4、tity synrsff is port(clk,r,s:in std_logic; q,qb:out std_logic);end synrsff;architecture rtl of synrsff is signal q_temp,qb_temp:std_logic;begin process(clk,r,s) begin if(clk=1)then if(s=1 and r=0)thenq_temp=1; qb_temp=0; elsif(s=0 and r=1)then q_temp=0; qb_temp=1; else q_temp=q_temp; qb_temp=qb_temp

5、; end if; else q_temp=q_temp; qb_temp=qb_temp; end if; end process;q=q_temp;qb=qb_temp;end rtl;. 同步D觸發(fā)器 library ieee;use ieee.std_logic_1164.all;entity synd is port(clk,d:in std_logic; q,qb:out std_logic);end synd;architecture rtl of synd is signal q_temp,qb_temp:std_logic;begin process(clk) begin i

6、f(clk=1)then q_temp=d; qb_temp=not d; else q_temp=q_temp; qb_temp=qb_temp; end if; end process;q=q_temp;qb=qb_temp;end rtl; 帶異步置位復(fù)位邊沿(上升沿)D觸發(fā)器library ieee;use ieee.std_logic_1164.all;entity adff is port(clk,d,r,s:in std_logic; q,qb:out std_logic);end adff;architecture rtl of adff is signal q_temp,qb_temp:std_logic;begin process(clk,r,s) begin if(r=0 and s=1)then q_temp=1; qb_temp=0; elsif(r=1 and s=0)then q_temp=0; qb_temp=1; el

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論