基于單片機(jī)控制的超聲波測(cè)距報(bào)警系統(tǒng)設(shè)計(jì)-王懿川_第1頁(yè)
基于單片機(jī)控制的超聲波測(cè)距報(bào)警系統(tǒng)設(shè)計(jì)-王懿川_第2頁(yè)
基于單片機(jī)控制的超聲波測(cè)距報(bào)警系統(tǒng)設(shè)計(jì)-王懿川_第3頁(yè)
基于單片機(jī)控制的超聲波測(cè)距報(bào)警系統(tǒng)設(shè)計(jì)-王懿川_第4頁(yè)
基于單片機(jī)控制的超聲波測(cè)距報(bào)警系統(tǒng)設(shè)計(jì)-王懿川_第5頁(yè)
已閱讀5頁(yè),還剩18頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、.現(xiàn)代通信技術(shù)專業(yè)課程設(shè)計(jì) 基于單片機(jī)控制的超聲波測(cè)距報(bào)警系統(tǒng)設(shè)計(jì)系 部: 電子與信息工程系 專業(yè)班級(jí): 電子信息工程07秋2班 學(xué)生姓名: 王 懿 川 學(xué) 號(hào): 07021234 小組成員: 張秉馨、謝瓊 指導(dǎo)教師: 陶亞雄、趙蘭 時(shí) 間: 2010.12.20 2010.12.31 完成時(shí)間: 2010 年 12 月目錄1 緒論11.1 設(shè)計(jì)的目的及其意義11.2 設(shè)計(jì)任務(wù)及要求12 總體方案22.1 總體設(shè)計(jì)方案22.2 超聲波測(cè)距框圖33 系統(tǒng)硬件設(shè)計(jì)43.1 硬件設(shè)計(jì)方案43.1.1 超聲波測(cè)距原理43.1.2 硬件電路設(shè)計(jì)43.2 各主要模塊的硬件設(shè)計(jì)43.2.1 超聲波發(fā)射電路6

2、3.2.2 超聲波檢測(cè)接收電路73.2.3 顯示電路設(shè)計(jì)83.2.4 復(fù)位功能84 軟件方案104.1 程序設(shè)計(jì)104.2 程序流程說(shuō)明104.2.1 主程序104.2.2 定時(shí)中斷服務(wù)子程序114.3 程序清單115 調(diào)試過(guò)程125.1 使用儀器設(shè)備125.2 調(diào)試中的問(wèn)題及解決方案125.2.1 軟件調(diào)試中的問(wèn)題及解決方案125.3 功能顯示136 課程設(shè)計(jì)收獲156.1 課程設(shè)計(jì)的心得體會(huì)15參考文獻(xiàn)16附錄16程序清單161 緒論 1.1 設(shè)計(jì)的目的及其意義利用超聲波作為定位技術(shù)是蝙蝠等一些無(wú)目視能力的生物作為防御及捕捉獵物生存的手段,也就是由生物體發(fā)射不被人們聽(tīng)到的超聲波(20kHz

3、以上的機(jī)械波),借助空氣媒質(zhì)傳播,由被待捕捉的獵物或障礙物反射回來(lái)的時(shí)間間隔長(zhǎng)短與被反射的超聲波的強(qiáng)弱判斷獵物性質(zhì)或障礙位置的方法。超聲波是由機(jī)械振動(dòng)產(chǎn)生,可在不同介質(zhì)中以不同的速度傳播。而且超聲波的速度相對(duì)于光速要小的多,其傳播時(shí)間就比較容易檢測(cè)。并且超聲波具有定向性好、能量集中、在傳輸過(guò)程中衰減較小、反射能力較強(qiáng)等優(yōu)點(diǎn),超聲波傳感器可廣泛應(yīng)用于非接觸式檢測(cè)方法,因而采用仿真技能利用超聲波測(cè)距【1】。 由于超聲波易于定向發(fā)射、方向性好、強(qiáng)度易控制、與被測(cè)量物體不需要直接接觸的優(yōu)點(diǎn),是作為液體高度測(cè)量的理想手段。在精密的液位測(cè)量中需要達(dá)到毫米級(jí)的測(cè)量精度,但是目前國(guó)內(nèi)的超聲波測(cè)距專用集成電路都

4、是只有厘米級(jí)的測(cè)量精度。通過(guò)分析超聲波測(cè)距誤差產(chǎn)生的原因,提高測(cè)量時(shí)間差到微秒級(jí),以及用LM92溫度傳感器進(jìn)行聲波傳播速度的補(bǔ)償后,我們?cè)O(shè)計(jì)的高精度超聲波測(cè)距儀能達(dá)到毫米級(jí)的測(cè)量精度【2】。 1.2 設(shè)計(jì)任務(wù)及要求 利用所學(xué)數(shù)字電子技術(shù)、信號(hào)處理、控制等技術(shù),設(shè)計(jì)、制作并調(diào)試完成一個(gè)單片機(jī)最小化系統(tǒng)。在此基礎(chǔ)上,將最小系統(tǒng)與綜合實(shí)驗(yàn)開(kāi)發(fā)平臺(tái)上的超聲波模塊、顯示模塊進(jìn)行正確的連接(如圖1.1所示),使單片機(jī)可接收超聲波模塊輸出的距離信號(hào),并對(duì)其進(jìn)行合理的處理后,在顯示模塊上實(shí)時(shí)顯示超聲波模塊與障礙物的距離。圖1.1 系統(tǒng)連接示意圖具體要求:l 實(shí)驗(yàn)開(kāi)發(fā)平臺(tái)上的數(shù)碼管可實(shí)時(shí)現(xiàn)實(shí)障礙無(wú)語(yǔ)超聲波的距離

5、信息,單位為cm,精確到小數(shù)點(diǎn)后1位;l 當(dāng)測(cè)試距離小于10或大于50時(shí)報(bào)警,且以上兩種情況的報(bào)警方式需有明顯的區(qū)別;l 系統(tǒng)應(yīng)具備測(cè)距啟動(dòng)功能,或當(dāng)系統(tǒng)報(bào)警后,可以復(fù)位系統(tǒng),使其開(kāi)始重新測(cè)距。2 總體方案2.1 總體設(shè)計(jì)方案測(cè)距儀的分辨率取決于對(duì)超聲波傳感器的選擇。超聲波傳感器是一種采用壓電效應(yīng)的傳感器,常用的材料是壓電陶瓷。由于超聲波在空氣中傳播時(shí)會(huì)有相當(dāng)?shù)乃p,衰減的程度與頻率的高低成正比【3】。根據(jù)設(shè)計(jì)要求并綜合各方面因素,本文采用STC89C52單片機(jī)作為控制器,用動(dòng)態(tài)掃描法實(shí)現(xiàn)LED數(shù)字顯示,超聲波驅(qū)動(dòng)信號(hào)用單片機(jī)的定時(shí)器。由單片機(jī)STC89C52編程產(chǎn)生40kHz的方波,經(jīng)P3.

6、3口連接到開(kāi)發(fā)平臺(tái)的超聲波模塊發(fā)送超聲波,再經(jīng)過(guò)放大電路,驅(qū)動(dòng)超聲波發(fā)射探頭發(fā)射超聲波。發(fā)射出的超聲波經(jīng)障礙物反射回來(lái)后,經(jīng)過(guò)P3.2口由超聲波接收頭接收到信號(hào)。通過(guò)接收電路的檢波放大、積分整形及一系列處理,送至單片機(jī)。單片機(jī)利用聲波的傳播速度和發(fā)射脈沖到接收反射脈沖的時(shí)間間隔計(jì)算出障礙物的距離,并由單片機(jī)控制在開(kāi)發(fā)平臺(tái)的顯示模塊中顯示出來(lái)。由于超聲波指向性強(qiáng),能量消耗緩慢,在介質(zhì)中傳播的距離較遠(yuǎn),因而超聲波經(jīng)常用于距離的測(cè)量。利用超聲波檢測(cè)距離,設(shè)計(jì)比較方便,計(jì)算處理也較簡(jiǎn)單,并且在測(cè)量精度方面也能達(dá)到農(nóng)業(yè)生產(chǎn)等自動(dòng)化的使用要求【4】。 2.2 超聲波測(cè)距框圖單片機(jī)發(fā)出40kHZ的方波信號(hào),

7、經(jīng)放大后通過(guò)超聲波發(fā)射器輸出;超聲波接收器將接收到的超聲波信號(hào)經(jīng)放大器放大,用鎖相環(huán)電路進(jìn)行檢波處理后,啟動(dòng)單片機(jī)中斷程序,測(cè)得時(shí)間為t;再通過(guò)軟件編程進(jìn)行判別、計(jì)算,得出所測(cè)距離數(shù)并經(jīng)由LED數(shù)碼管顯示,其原理框圖如圖2.1。圖2.1 超聲波測(cè)距儀原理框圖發(fā)射器發(fā)出的超聲波以速度在空氣中傳播,在到達(dá)被測(cè)物體時(shí)被反射返回,由接收器接收,其往返時(shí)間為t。由公式:測(cè)出的距離=常溫下的聲速340感應(yīng)時(shí)間,算出被測(cè)物體的距離。由于超聲波也是一種聲波,其聲速v與溫度有關(guān),下表列出了幾種不同溫度下的聲速。在使用時(shí),如果溫度變化不大,則可認(rèn)為聲速是基本不變的。如果測(cè)距精度要求很高,則應(yīng)通過(guò)溫度補(bǔ)償?shù)姆椒右?/p>

8、校正【5】。3 系統(tǒng)硬件設(shè)計(jì)3.1 硬件設(shè)計(jì)方案 3.1.1 超聲波測(cè)距原理單片機(jī)在時(shí)刻發(fā)射方波,同時(shí)啟動(dòng)定時(shí)器開(kāi)始計(jì)時(shí),當(dāng)收到回波后,產(chǎn)生一個(gè)負(fù)跳變到單片機(jī)中端口,單片機(jī)響應(yīng)中斷程序,定時(shí)器停止計(jì)數(shù)。計(jì)算時(shí)間差,即可得到超聲波在媒介中傳播的時(shí)間,由此便可計(jì)算出距離。其時(shí)序圖如圖3.1所示。圖3.1 超聲波時(shí)序圖 3.1.2 硬件電路設(shè)計(jì)硬件電路的設(shè)計(jì)主要包括單片機(jī)系統(tǒng)及顯示電路、超聲波發(fā)射電路和超聲波檢測(cè)接收電路三部分。單片機(jī)采用STC89C51或其兼容系列。采用12MHz高精度的晶振,以獲得較穩(wěn)定時(shí)鐘頻率,減小測(cè)量誤差。單片機(jī)用P2.4端口輸出超聲波換能器所需的40kHz的方波信號(hào),利用外

9、中斷0口監(jiān)測(cè)超聲波接收電路輸出的返回信號(hào)。顯示電路采用簡(jiǎn)單實(shí)用的4位共陽(yáng)LED數(shù)碼管,段碼用程序驅(qū)動(dòng),位碼用PNP三極管驅(qū)動(dòng)。3.2 各主要模塊的硬件設(shè)計(jì)1.STC89C51芯片簡(jiǎn)介5l系列單片機(jī)中典型芯片(STC89C51)采用40引腳雙列直插封裝(DIP)形式,內(nèi)部由CPU,8kB的ROM,256 B的RAM,2個(gè)16b的定時(shí)計(jì)數(shù)器TO和T1,4個(gè)8 b的工O端I:IP0,P1,P2,P3,一個(gè)全雙功串行通信口等組成。特別是該系列單片機(jī)片內(nèi)的Flash可編程、可擦除只讀存儲(chǔ)器(EPROM),使其在實(shí)際中有著十分廣泛的用途,在便攜式、省電及特殊信息保存的儀器和系統(tǒng)中更為有用【6】。該系列單片

10、機(jī)引腳與封裝如圖3.2所示。圖3.2 STC89C51引腳圖2.引腳功能說(shuō)明(1)VCC:電源電壓(2)GND:接地(3)RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時(shí),要保持RST腳兩個(gè)機(jī)器周期的高電平時(shí)間。(4)/EA/VPP:當(dāng)/EA保持低電平時(shí),則在此期間外部程序存儲(chǔ)器(0000H-FFFFH),不管是否有內(nèi)部程序存儲(chǔ)器。(5)XTAL1:反向振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入。(6)XTAL2:來(lái)自反向振蕩器的輸出。(7)P0口:P0口為一個(gè)8位漏級(jí)開(kāi)路雙向I/O口,也即地址/數(shù)據(jù)總線復(fù)用口。作為輸出口用時(shí),每腳可吸收8TTL門(mén)電流。(8)P1口:P1口是一個(gè)內(nèi)部提供上拉電阻的8位雙向I

11、/O口,P1口緩沖器能吸收或輸出4TTL門(mén)電流。 (9)P2口:P2口為一個(gè)內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可吸收或輸出4個(gè)TTL門(mén)電流,當(dāng)P2口被寫(xiě)“1”時(shí),其管腳被內(nèi)部上拉電阻拉高,且作為輸入。(10)P3口:P3口管腳是8個(gè)帶內(nèi)部上拉電阻的雙向I/O口,可吸收或輸出4個(gè)TTL門(mén)電流。P3口也可作為AT89C52的一些特殊功能口,如下表1所示。表3.1 P3特殊功能口口管腳備選功能P3.0 RXD串行輸入口P3.1 TXD串行輸出口P3.2 /INT0外部中斷0P3.3 /INT1外部中斷1P3.4 T0記時(shí)器0外部輸入P3.5 T1記時(shí)器1外部輸入P3.6 /WR外部數(shù)據(jù)存儲(chǔ)

12、器寫(xiě)選通P3.7 /RD外部數(shù)據(jù)存儲(chǔ)器讀選通P3口同時(shí)為閃爍編程和編程校驗(yàn)接收一些控制信號(hào)。(11)ALE/PROG:當(dāng)訪問(wèn)外部存儲(chǔ)器時(shí),地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。(12)/PSEN:外部程序存儲(chǔ)器的選通信號(hào)。在由外部程序存儲(chǔ)器取指期間,每個(gè)機(jī)器周期兩次/PSEN有效。但在訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的/PSEN信號(hào)將不出現(xiàn)【7】。 3.2.1 超聲波發(fā)射電路超聲波發(fā)射電路原理圖如圖3.3所示。發(fā)射電路主要由反相器74LS04和超聲波發(fā)射換能器T構(gòu)成,單片機(jī)P3.3端口輸出的40kHz的方波信號(hào)一路經(jīng)一級(jí)反向器后送到超聲波換能器的一個(gè)電極,另一路經(jīng)兩級(jí)反向器后送到超聲波

13、換能器的另一個(gè)電極,用這種推換形式將方波信號(hào)加到超聲波換能器的兩端,可以提高超聲波的發(fā)射強(qiáng)度。輸出端采兩個(gè)反向器并聯(lián),用以提高驅(qū)動(dòng)能力。上位電阻R1O、R11一方面可以提高反向器74LS04輸出高電平的驅(qū)動(dòng)能力,另一方面可以增加超聲波換能器的阻尼效果,縮短其自由振蕩時(shí)間【8】。圖3.3 超聲波發(fā)射電路原理圖 3.2.2 超聲波檢測(cè)接收電路集成電路CX20106A是一款紅外線檢波接收的專用芯片,常用于電視機(jī)紅外遙控接收器??紤]到紅外遙控常用的載波頻率38 kHz與測(cè)距的超聲波頻率40 kHz較為接近,可以利用它制作超聲波檢測(cè)接收電路(如圖3.4)。實(shí)驗(yàn)證明用CX20106A接收超聲波(無(wú)信號(hào)時(shí)輸

14、出高電平),具有很好的靈敏度和較強(qiáng)的抗干擾能力【9】。圖3.4超聲波檢測(cè)接收電路 3.2.3 顯示電路設(shè)計(jì)顯示電路采用簡(jiǎn)單實(shí)用的4位共陽(yáng)LED數(shù)碼管,段碼用74LS244驅(qū)動(dòng),位碼用PNP三級(jí)管驅(qū)動(dòng)。接P2.1引腳的為個(gè)位顯示數(shù)碼管,其小數(shù)點(diǎn)在P2.1有效時(shí)顯示,此功能由軟件來(lái)完成。 3.2.4 復(fù)位功能系統(tǒng)應(yīng)具備測(cè)距啟動(dòng)功能,可以復(fù)位系統(tǒng),使其開(kāi)始重新測(cè)距。采用開(kāi)發(fā)平臺(tái)中的按鍵模塊實(shí)現(xiàn)。綜上所述本系統(tǒng)的特點(diǎn)是利用單片機(jī)控制超聲波的發(fā)射和對(duì)超聲波自發(fā)射至接收往返時(shí)間的計(jì)時(shí),單片機(jī)選用STC89C52,經(jīng)濟(jì)易用,且片內(nèi)有8K的ROM,便于編程。電路原理圖如圖3.5所示。圖3.5 電路原理圖4 軟

15、件方案4.1 程序設(shè)計(jì)系統(tǒng)軟件設(shè)計(jì)采用模塊化設(shè)計(jì),主要包括主程序設(shè)計(jì)、T0中斷服務(wù)子程序、外部中斷服務(wù)子程序、距離計(jì)算子程序、LED顯示子程序設(shè)計(jì)等。主程序首先是對(duì)系統(tǒng)環(huán)境初始化,設(shè)置定時(shí)器T0工作模式為16位定時(shí)計(jì)數(shù)器模式。置位總中斷允許位EA并給顯示端口P0和P2清0。然后調(diào)用超聲波發(fā)生子程序送出一個(gè)超聲波脈沖,為了避免超聲波從發(fā)射器直接傳送到接收器引起的直射波觸發(fā),需要延時(shí)約0.1 ms,然后才打開(kāi)外中斷0接收返回的超聲波信號(hào)【10】。測(cè)出距離后結(jié)果將以十進(jìn)制BCD碼方式送往LED顯示約0.5s,然后再發(fā)超聲波脈沖重復(fù)測(cè)量過(guò)程。為了有利于程序結(jié)構(gòu)化和容易計(jì)算出距離,主程序采用C語(yǔ)言編寫(xiě)。

16、  4.2 程序流程說(shuō)明 4.2.1 主程序l 系統(tǒng)控制初始化LED、初始化計(jì)數(shù)控制部分,清除計(jì)數(shù)值。l 單片機(jī)超聲波脈沖信號(hào)。l 立刻置INT0為1,打開(kāi)計(jì)時(shí)器,等待回波信號(hào)。l 回波信號(hào)到達(dá),關(guān)閉計(jì)時(shí)器,P3.3為0,清INT0為0。l 單片機(jī)讀出計(jì)數(shù)值。l 單片機(jī)將計(jì)數(shù)值進(jìn)行計(jì)算后得出的距離值,顯示在LED上。l 當(dāng)超出測(cè)量距離小于0.1m或大于0.5m時(shí),報(bào)警系統(tǒng)燈亮。l 當(dāng)按下復(fù)位鍵時(shí),啟動(dòng)復(fù)位功能。主程序流程圖如圖4.1所示。圖4.1 主程序流程圖 4.2.2 定時(shí)中斷服務(wù)子程序超聲波發(fā)生子程序的作用是通過(guò)P3.3端口發(fā)送2個(gè)左右頻率約40kHz的方波的超聲波脈

17、沖信號(hào),同時(shí)把計(jì)數(shù)器T0打開(kāi)進(jìn)行計(jì)時(shí)。定時(shí)中斷子程序如圖4.2所示。圖4.2 定時(shí)中斷服務(wù)子程序 4.3 程序清單源程序詳見(jiàn)附錄。5 調(diào)試過(guò)程5.1 使用儀器設(shè)備整個(gè)測(cè)距系統(tǒng)由單片機(jī)最小系統(tǒng)、實(shí)驗(yàn)開(kāi)發(fā)平臺(tái)(其中用到超聲波收發(fā)模塊、數(shù)碼管顯示模塊、按鍵模塊)、PC機(jī)、跳線若干根組成。5.2 調(diào)試中的問(wèn)題及解決方案 5.2.1 軟件調(diào)試中的問(wèn)題及解決方案根據(jù)實(shí)際情況可以修改超聲波子程序每次發(fā)送的脈沖寬度和兩次測(cè)量的時(shí)間間隔,以適應(yīng)不同距離的測(cè)量需要。根據(jù)所設(shè)計(jì)的電路參數(shù)和程序,測(cè)距儀能測(cè)得范圍為0.10.5m,當(dāng)超過(guò)范圍時(shí)發(fā)生LED亮燈報(bào)警,同時(shí)設(shè)置啟動(dòng)自動(dòng)復(fù)位,使其重新測(cè)距。 A.測(cè)距程序程序中

18、最難的部分是測(cè)距程序。由于該超聲波測(cè)距模塊對(duì)時(shí)序的要求很高,要求在輸入端產(chǎn)生40kHz的方波。因?yàn)樵诔绦虻拇笱h(huán)中,尤其它程序造成延時(shí)的誤差,導(dǎo)致產(chǎn)生的方波并不算是需要的40kHz。這樣該模塊就無(wú)法正常運(yùn)行,導(dǎo)致測(cè)距失敗。后來(lái),我們修改了延時(shí),矯正了時(shí)序,并用示波器進(jìn)行測(cè)量,在P3.3引腳上得到了40kHz的方波。 B.報(bào)警功能由于報(bào)警時(shí)要使LED燈閃爍,即需高電平與低電平交叉。其中在高低電平轉(zhuǎn)換時(shí)需要延時(shí),我們錯(cuò)誤的將報(bào)警子程序放入主程序,導(dǎo)致數(shù)碼管不能正常的進(jìn)行動(dòng)態(tài)掃描。將報(bào)警子程序以定時(shí)器1的方式放入中斷函數(shù)或?qū)?dòng)態(tài)掃描程序放入定時(shí)器1,都可解決問(wèn)題。經(jīng)過(guò)多次嘗試,以及大家地討論終于成功

19、寫(xiě)出了報(bào)警系統(tǒng)的亮燈功能。5.3 功能顯示如圖5.2所示,通過(guò)數(shù)碼管顯示測(cè)出的距離為,屬于10cm50cm正常范圍。圖5.1 超聲波測(cè)距正常范圍如圖5.3所示,超聲波測(cè)出的距離為,小于10cm,報(bào)警系統(tǒng)啟動(dòng),LED燈亮。圖5.2 超聲波測(cè)距小于10cm 如圖5.4所示,超聲波測(cè)出的距離為,大于50cm,報(bào)警系統(tǒng)啟動(dòng),LED燈亮。圖5.3 超聲波測(cè)距大于50cm 如圖5.5所示,按下鍵盤(pán)模塊中的按鍵,復(fù)位系統(tǒng),數(shù)碼管顯示距離數(shù)歸零。圖5.4 啟動(dòng)復(fù)位功能 6 課程設(shè)計(jì)收獲6.1 課程設(shè)計(jì)的心得體會(huì)作為一名電子信息工程的學(xué)生,我覺(jué)得做單片機(jī)課程設(shè)計(jì)是十分有意義的,而且是十分必要的,在已度過(guò)的大學(xué)時(shí)

20、間里,我們大多數(shù)接觸的是專業(yè)課。在課本上掌握的僅僅是專業(yè)課的理論知識(shí),如何去鍛煉我們的實(shí)踐能力?如何把我們所學(xué)的專業(yè)理論運(yùn)用到實(shí)踐中?我想這次課程設(shè)計(jì)提供了良好的平臺(tái)。在做本次課程設(shè)計(jì)的過(guò)程中,我感觸最深的當(dāng)屬于實(shí)物制作和調(diào)試的過(guò)程,為了讓設(shè)計(jì)更完善,我們查閱了大量的資料,經(jīng)過(guò)多次小組討論,修改程序,不斷調(diào)試,終于初見(jiàn)成果。在這期間,我一度感到沮喪,因?yàn)樵谖业脑O(shè)想中能實(shí)現(xiàn)的功能放到實(shí)物中往往會(huì)遇到各種問(wèn)題,為了解決這些問(wèn)題,花費(fèi)大量的經(jīng)歷和時(shí)間,從中我也學(xué)到了我們一切都要有據(jù)可依,不切實(shí)際的構(gòu)想無(wú)法升級(jí)為設(shè)計(jì)。通過(guò)這次的課程設(shè)計(jì)的制作讓我對(duì)單片機(jī)的理論有了更加深入的了解,同時(shí)在具體制作實(shí)物的過(guò)

21、程中,我發(fā)現(xiàn)書(shū)本上的知識(shí)與實(shí)際應(yīng)用存在著差距,書(shū)本上的知識(shí)很多都是理想化的結(jié)論,忽略了很多實(shí)際因素或者問(wèn)題,這讓我們無(wú)法根據(jù)書(shū)本上的理論就輕易得到預(yù)想中的結(jié)果。通過(guò)這次實(shí)踐使我更深刻的體會(huì)到了理論聯(lián)系實(shí)際的重要性,以及我們實(shí)物制作的動(dòng)手能力。我們?cè)诮窈蟮膶W(xué)習(xí)工作中會(huì)更加的注重實(shí)際,避免成為只會(huì)紙上談兵的趙括。從中我也學(xué)會(huì)了凡事要耐心和堅(jiān)持。最后,我在此要感謝各位給予我?guī)椭耐瑢W(xué)和我們小組的其他兩位成員,沒(méi)有他們的幫助無(wú)法獨(dú)自完成這次課程設(shè)計(jì)。特別要感謝我們的指導(dǎo)老師,兩位指導(dǎo)老師不辭辛勞的回答我們的問(wèn)題,和我們一同討論并解決問(wèn)題。參考文獻(xiàn)1 沙占友集成化智能傳感器原理與應(yīng)用M北京:電子工業(yè)出版

22、社,20042 吳研超聲波倒車?yán)走_(dá)系統(tǒng)設(shè)計(jì) J北京:北京理工大學(xué),20053 肖景和,趙健紅外線熱釋電與超聲波遙控電路M北京:人民郵電出版社,20034 史諺賓基于AT89C2051的超聲波測(cè)距儀設(shè)計(jì)J北京:航空航天出版社,20065 路錦正,王建勤.超聲波測(cè)距儀的設(shè)計(jì)M成都:成都理工大學(xué),19996 基于單片機(jī)智能系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)M北京:人民郵電出版社,20057 賴壽宏微型計(jì)算機(jī)控制技術(shù)M北京:機(jī)械工業(yè)出版社,20028 王兆安,黃俊電力電子技術(shù)M北京:機(jī)械工業(yè)出版社,20039 邱關(guān)源電路M北京:高等教育出版社,199910 尤一鳴.單片機(jī)總線擴(kuò)展技術(shù)第一版M.北京:北京航空航天大學(xué)出版

23、社,1993附錄程序清單/超聲波模塊顯示程序#include <reg52.h> /包括一個(gè)52標(biāo)準(zhǔn)內(nèi)核的頭文件#define uchar unsigned char /定義一下方便使用#define uint unsigned int#define ulong unsigned longsbit Tx = P33; /產(chǎn)生脈沖引腳sbit Rx = P32; /回波引腳sbit Warning_LED=P17;sbit key=P16;uchar code SEG710=0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80,0x90;/數(shù)碼管0

24、-9uint distance4; /測(cè)距接收緩沖區(qū)uchar ge,shi,bai,temp,flag,outcomeH,outcomeL,i; /自定義寄存器bit succeed_flag; /測(cè)量成功標(biāo)志/函數(shù)聲明void conversion(uint temp_data);void delay_20us();void pai_xu();void main(void) / 主程序 uint distance_data,a,b; uchar CONT_1; i=0; flag=0;Tx=0; /首先拉低脈沖輸入引腳TMOD=0x11; /定時(shí)器0,定時(shí)器1,16位工作方式 ,timer

25、0用顯示TR0=1; /啟動(dòng)定時(shí)器0 IT0=0; /由負(fù)沿觸發(fā)外部中斷ET0=1; /打開(kāi)定時(shí)器0中斷EX0=0; /關(guān)閉外部中斷EA=1; /打開(kāi)總中斷0while(1) /程序循環(huán) EA=0; Tx=1; delay_20us(); Tx=0; /產(chǎn)生一個(gè)20us的脈沖,在Tx引腳 while(Rx=0); /等待Rx回波引腳變高電平 succeed_flag=0; /清測(cè)量成功標(biāo)志 EX0=1; /打開(kāi)外部中斷 TH1=0; /定時(shí)器1清零 TL1=0; /定時(shí)器1清零 F1=0; TR1=1; /啟動(dòng)定時(shí)器1 EA=1;while(TH1 < 30);/等待測(cè)量的結(jié)果,周期65

26、.535毫秒 TR1=0; /關(guān)閉定時(shí)器1 EX0=0; /關(guān)閉外部中斷if(succeed_flag=1) distance_data=outcomeH; /測(cè)量結(jié)果的高8位 distance_data<<=8; /放入16位的高8位 distance_data=distance_data|outcomeL;/與低8位合并成為16位結(jié)果數(shù)據(jù) distance_data*=12; /因?yàn)槎〞r(shí)器默認(rèn)為12分頻 distance_data/=58; /微秒的單位除以58等于厘米 /為什么除以58等于厘米, Y米=(X秒*344)/2/ X秒=( 2*Y米)/344 =X秒=0.0058

27、*Y米 =厘米=微秒/58 if(succeed_flag=0) distance_data=0; /沒(méi)有回波則清零distancei=distance_data; /將測(cè)量結(jié)果的數(shù)據(jù)放入緩沖區(qū) i+; if(i=3) distance_data=(distance0+distance1+distance2+distance3)/4; /求平均 pai_xu(); /按從小到大的順序放 distance_data=distance1;a=distance_data; if(b=a) CONT_1=0; if(b!=a) CONT_1+; if(CONT_1>=3) CONT_1=0; b=a; conversion(b); i=0; /外部中斷0,用做判斷回波電平void INT0_() interrupt 0 / 外部中斷是0號(hào) outcomeH =TH1; /取出定時(shí)器的值 outcomeL =TL1; /取出定時(shí)器的值 succeed_flag=1; /至成功測(cè)量的標(biāo)志 EX0=0; /關(guān)閉外部中斷/定時(shí)器0中斷,用做顯示void timer0() interrupt 1 / 定時(shí)器0中斷是1號(hào)TH0=0xfd; /寫(xiě)入定時(shí)器0初始值TL0=0x77;switch(flag) case 0x00

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論