基于單片機(jī)的氣壓檢測(cè)裝置的設(shè)計(jì)_第1頁
基于單片機(jī)的氣壓檢測(cè)裝置的設(shè)計(jì)_第2頁
基于單片機(jī)的氣壓檢測(cè)裝置的設(shè)計(jì)_第3頁
基于單片機(jī)的氣壓檢測(cè)裝置的設(shè)計(jì)_第4頁
基于單片機(jī)的氣壓檢測(cè)裝置的設(shè)計(jì)_第5頁
已閱讀5頁,還剩23頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、基于單片機(jī)的大氣壓檢測(cè)系統(tǒng)的設(shè)計(jì)摘要:本系統(tǒng)是以MCS-51單片機(jī)為檢測(cè)中心的大氣壓檢測(cè)系統(tǒng)。其總體設(shè)計(jì)是圍繞低成本、模塊化、微型化的特點(diǎn)展開的。在硬件選擇方面, 選擇性價(jià)比高的AT89系列單片機(jī)、MPX4115壓力傳感器、ADC0832模數(shù)轉(zhuǎn)換器、四位一體共陽七段式數(shù)碼管顯示器;在軟件方面, 采用了功能模塊化;源程序由C語言編寫,經(jīng)過KeilVision軟件編譯,將hex文件燒錄到芯片中。為了降低整個(gè)系統(tǒng)的成本, 在滿足性能要求的前提下, 選擇低成本元器件, 簡(jiǎn)化系統(tǒng)設(shè)計(jì)。同時(shí),抗干擾能力強(qiáng)、微型化、微功耗等特點(diǎn)。關(guān)鍵詞:大氣壓檢測(cè);MPX4115;ADC0832;C語言程序引言 近年來,隨

2、著微型計(jì)算機(jī)的不斷發(fā)展,它的應(yīng)用在人們的工作和日常生活中越來越普遍。工業(yè)過程控制是計(jì)算機(jī)的一個(gè)重要應(yīng)用領(lǐng)域。其中由單片機(jī)構(gòu)成的嵌入式系統(tǒng)已經(jīng)越來越受到人們的關(guān)注。隨著科學(xué)技術(shù)的迅猛發(fā)展,生產(chǎn)力水平迅速提升,單片機(jī)性能不斷提高,價(jià)格不斷降低,技術(shù)日趨成熟,單片機(jī)廣泛的應(yīng)用于人們生活的多個(gè)領(lǐng)域,這些東西都離不開單片機(jī),例如導(dǎo)彈的導(dǎo)航裝置,工業(yè)自動(dòng)化過程的實(shí)時(shí)控制和數(shù)據(jù)處理,計(jì)算機(jī)的數(shù)據(jù)傳輸以及網(wǎng)絡(luò)通訊,各種智能IC卡、攝像機(jī)、全自動(dòng)洗衣機(jī)的控制,以及電子琴、電子寵物等等,而基于單片機(jī)的大氣壓檢測(cè)裝置也是這類采用了單片機(jī)的電子產(chǎn)品。若使用數(shù)字電路完成該設(shè)計(jì),那么所設(shè)計(jì)的電路就會(huì)變得十分復(fù)雜,大概需要

3、很多片數(shù)字集成塊,它的功能的實(shí)現(xiàn)主要是依賴于數(shù)字電路的各個(gè)功能模塊的組合,價(jià)格相對(duì)來說比較高,從而成本會(huì)提高,并且焊接的過程也比較復(fù)雜。在本次設(shè)計(jì)中之所以采用單片機(jī)制作,是因?yàn)閱纹瑱C(jī)功能的實(shí)現(xiàn)主要是通過軟件編程來完成的,同時(shí)也使硬件電路簡(jiǎn)單化,并且其成本也有所降低。本次設(shè)計(jì)的壓力檢測(cè)裝置是通過壓力傳感器將檢測(cè)到的壓力信號(hào)裝換為電信號(hào),送至8位A/D轉(zhuǎn)換器,然后將模擬信號(hào)轉(zhuǎn)換成單片機(jī)可以識(shí)別的數(shù)字信號(hào),再通過數(shù)碼管顯示輸出。基于單片機(jī)的壓力檢測(cè)裝置,選擇的單片機(jī)是基于AT89S52單片機(jī)的測(cè)量與顯示,將壓力經(jīng)過壓力傳感器變?yōu)殡娦盘?hào),然后進(jìn)入A/D轉(zhuǎn)換器將模擬量裝換為數(shù)字量,這里所采用的A/D轉(zhuǎn)換

4、器為ADC0832,ADC0832為8位分辨率A/D轉(zhuǎn)換芯片,其最高分辨率可達(dá)256級(jí),可以適應(yīng)一般的模擬量轉(zhuǎn)換要求。其內(nèi)部電源輸入與參考電壓的復(fù)用,使得芯片的模擬電壓輸入在0-5V之間。芯片轉(zhuǎn)換時(shí)間僅為32,具有雙數(shù)據(jù)輸出可作為數(shù)據(jù)校驗(yàn),以減少數(shù)據(jù)誤差,轉(zhuǎn)換速度快且穩(wěn)定性強(qiáng)。1 大氣壓檢測(cè)技術(shù)簡(jiǎn)介 1.1 大氣壓的概念及其研究意義地球周圍包著一層厚厚的空氣,它主要是由氮?dú)狻⒀鯕?、二氧化碳相關(guān)試驗(yàn)、水蒸氣和氦、氖、氬等氣體混合組成的,通常把這層空氣的整體稱之為大氣。它上疏下密地分布在地球的周圍,總厚度達(dá)1000千米,所有浸在大氣里的物體都要受到大氣作用于它的壓強(qiáng),就像浸在水中的物體都要受到水的

5、壓強(qiáng)一樣。大氣壓的變化跟壓強(qiáng)和壓力的變化注意區(qū)別。大氣壓(通常指大氣壓強(qiáng)和大氣壓力)是從宏觀來論述,壓強(qiáng)和壓力是從微觀來講。因而在理解上我們要注意區(qū)分不要搞混淆。一般來講:海拔越高,大氣壓越?。粶囟仍礁?,大氣壓越??;空氣越干,大氣壓越大;緯度越高,大氣壓越大。1.2 壓力傳感器的分類及特點(diǎn) 壓力傳感器是壓力檢測(cè)系統(tǒng)中的重要組成部分,由各種壓力敏感元件將被測(cè)壓力信號(hào)轉(zhuǎn)換成容易測(cè)量的電信號(hào)作為輸出,給顯示儀表顯示壓力值,或供控制和報(bào)警使用??茖W(xué)技術(shù)的不斷發(fā)展極大地豐富了壓力測(cè)量產(chǎn)品的種類,現(xiàn)在,傳感器的敏感原理不僅有電容式、壓阻式、金屬應(yīng)變式、霍爾式、振筒式等等但仍以電容式、壓阻式和金屬應(yīng)變式傳感

6、器最為多見。 (1)電容式壓力傳感器 電容式壓力傳感器,是一種可以利用電容敏感的原件把被測(cè)量的壓力轉(zhuǎn)換成為跟它有一定的關(guān)系的電信號(hào)輸出的精密測(cè)量?jī)x器。它一般是使用圓形金屬薄膜或鍍金屬薄膜來做電容器的其中一個(gè)電極。在薄膜感受到壓力的時(shí)候,它就會(huì)變形的,此時(shí)薄膜跟固定的電極間所產(chǎn)生的電容量就會(huì)發(fā)生改變。測(cè)量電路就可以輸出跟電壓形成一定的關(guān)系的電信號(hào)。 電容式壓力傳感器是極距變化型的電容式傳感器,有單電容式和差動(dòng)電容式之分。 單電容式壓力傳感器它是由圓形的薄膜和固定的電極組成的。當(dāng)受到壓力作用的時(shí)候,薄膜就會(huì)發(fā)生變形,這樣就會(huì)改變電容器的容量。它的靈敏度大概是跟薄膜與固定的電極之間的距離和薄膜的張力

7、成反比關(guān)系的;而跟壓力和薄膜的面積成正比關(guān)系的。有另外的一種型式,它是跟固定電極取凹形球面狀的,而膜片是周圍邊緣的固定的張緊的平面,膜片能夠使用塑料接著鍍上金屬層的這個(gè)方法制造而成的。這一種型式比較適合于測(cè)量低壓這個(gè)工作條件的,它有比較高的過載能力。當(dāng)然,要測(cè)量高壓工作條件的話,可使用帶有活塞動(dòng)極膜片制造而成的單電容式壓力傳感器。這一種型式的傳感器可以把膜片的直接的受壓面積變小,這樣就方便使用比較薄的膜片以致來提高它的靈敏度。把它與各種的保護(hù)和補(bǔ)償部還有放大電路的整體整合在一起,就會(huì)提高抗干擾能力。差動(dòng)電容式壓力傳感器其受壓膜片電極是處于兩個(gè)固定的電極之間的,可以形成兩個(gè)電容器。當(dāng)受到壓力的作

8、用的時(shí)候,其中一個(gè)電容器的容量就會(huì)變大,而另一個(gè)電容器的容量就會(huì)相應(yīng)地變小,而測(cè)量的結(jié)果是由差動(dòng)式的電路輸出的。此傳感器的固定的電極是由在凹而曲的玻璃的表層上面鍍上金屬層而制造出來的。當(dāng)過載的時(shí)候,膜片就會(huì)受到凹面的保護(hù),所以,它是不會(huì)破裂的。相對(duì)于單電容式壓力傳感器來說,它的線性度較好,靈敏度也較高,但是在加工方面就比較困難了,并且它不可以完成對(duì)被測(cè)的液體或者是氣體的隔離,所以,它不適合使用在有雜質(zhì)的或者是有腐蝕性的流體之中。 (2)金屬應(yīng)變片式壓力傳感器電阻應(yīng)變片是由金屬應(yīng)變絲或者應(yīng)變箔、基體材料、引出線以及絕緣保護(hù)片等部分組成。電阻應(yīng)變片的阻值根據(jù)不同的用途可按需設(shè)計(jì),但是電阻的取值范圍

9、應(yīng)該需要注意:如果阻值太小,所需要的驅(qū)動(dòng)電流就會(huì)太大,并且應(yīng)變片會(huì)發(fā)熱導(dǎo)致自身的溫度過高,應(yīng)變片的阻值會(huì)因使用環(huán)境不同而變化很大,調(diào)零電路過于復(fù)雜,會(huì)有很明顯的輸出零點(diǎn)漂移。但是如果電阻太大,阻抗太高,那么它在抗外界的電磁干擾的能力方面就會(huì)顯得比較差。金屬電阻應(yīng)變片的工作原理是吸附在基體材料上應(yīng)變電阻隨機(jī)械形變而產(chǎn)生阻值變化的現(xiàn)象,通常稱為電阻應(yīng)變效應(yīng)。金屬導(dǎo)體的電阻值可以用下面的式子進(jìn)行表示: 式中:金屬導(dǎo)體的電阻率() L導(dǎo)體的長(zhǎng)度() S導(dǎo)體的截面積()例如金屬絲應(yīng)變電阻,當(dāng)金屬絲受到外力作用的時(shí)候,它的截面積和長(zhǎng)度都會(huì)發(fā)生一些變化,從上述公式中能夠看出,它的電阻值就會(huì)發(fā)生相應(yīng)的改變,或

10、金屬絲受到外力作用而發(fā)生壓縮時(shí),其長(zhǎng)度就會(huì)減小而截面積就會(huì)增加,那么電阻值從而會(huì)相應(yīng)減小?;蚪饘俳z受到外力作用而使其伸長(zhǎng)時(shí),它的長(zhǎng)度就會(huì)增加而截面積就會(huì)減少,那么電阻值就會(huì)增大。若要獲得應(yīng)變金屬絲的應(yīng)變情況那么只要測(cè)出加在電阻的變化,通常是測(cè)量電阻兩端的電壓1。 (3)壓阻式壓力傳感器壓阻式壓力傳感器通常采用集成電路工藝技術(shù)制作,在硅片上制造出四個(gè)等值的薄膜電阻并組成電橋電路,當(dāng)沒有受到壓力作用的時(shí)候,電橋就會(huì)處于平衡狀態(tài),沒有電壓輸出;當(dāng)受到壓力作用的時(shí)候,單晶硅就會(huì)產(chǎn)生應(yīng)變,使直接擴(kuò)散在上面的應(yīng)變電阻產(chǎn)生與被測(cè)壓力成比例的變化,再由橋式電路獲得相應(yīng)的電壓輸出信號(hào)。并且電橋就會(huì)失去平衡從而輸

11、出電壓,且輸出的電壓與壓力成比例1。壓阻式壓力傳感器具有以下特點(diǎn):壓阻式壓力傳感器的靈敏系數(shù)要比金屬應(yīng)變式壓力傳感器的靈敏度系數(shù)要大很多,一般是50-100倍。有的時(shí)候壓阻式壓力傳感器的輸出不需要放大器就可以直接進(jìn)行測(cè)量。本設(shè)計(jì)就是應(yīng)用了此類型的壓力傳感器。 壓力分辨率高,它可以檢測(cè)出像血壓那么小的微壓。 由于它是采用集成電路工藝加工,因而結(jié)構(gòu)尺寸小,重量輕、結(jié)構(gòu)簡(jiǎn)單。它的頻率響應(yīng)非常好,它可以測(cè)量幾十千赫茲的脈動(dòng)壓力。 傳感器的力敏元件及檢測(cè)元件制在同一塊硅片上,所以它工作可靠,綜合精度高,且使用壽命長(zhǎng)。 由于采用半導(dǎo)體材料硅制作,傳感器對(duì)溫度比較敏感,如不采用溫度補(bǔ)償,其溫度誤差較大。 1

12、.3 發(fā)展趨勢(shì)現(xiàn)代信息技術(shù)的三大基礎(chǔ)是信息采集(即傳感器技術(shù))、信息傳輸(通信技術(shù))和信息處理(計(jì)算機(jī)技術(shù))。傳感器屬于信息技術(shù)的前沿尖端產(chǎn)品,隨著硅、微機(jī)械加工技術(shù)、超大集成電路技術(shù)和材料制備與特性研究工作的進(jìn)展,使得壓力傳感器在光纖傳感器的批量生產(chǎn)、高溫硅壓阻及壓電結(jié)傳感器的應(yīng)用成為可能,在生物醫(yī)學(xué)、微型機(jī)械等領(lǐng)域,壓力傳感器有著廣泛的應(yīng)用前景2。2 基于單片機(jī)的大氣壓檢測(cè)系統(tǒng)的硬件設(shè)計(jì)2.1 大氣壓檢測(cè)系統(tǒng)的原理 本次設(shè)計(jì)是以AT89S52單片機(jī)組成的氣壓測(cè)量,系統(tǒng)中必須有前向通道作為電信號(hào)的輸入通道,用來采集輸入信息。氣壓的測(cè)量,需要傳感器,利用傳感器將壓力轉(zhuǎn)換成電信號(hào)后,再經(jīng)A/D轉(zhuǎn)

13、換為數(shù)字量后才能由計(jì)算機(jī)進(jìn)行有效處理。然后用LED進(jìn)行顯示,設(shè)置氣壓上下限,超過其壓力值就報(bào)警。使用三極管,電阻和蜂鳴器組成的報(bào)警電路。其原理圖如圖1所示:氣壓傳感器報(bào)警電路A/D轉(zhuǎn)換器AT89S52單片機(jī)復(fù)位電路數(shù)碼管顯示 圖1 壓力檢測(cè)系統(tǒng)的原理圖 2.2 主電路的設(shè)計(jì) (1)主電路本氣壓檢測(cè)系統(tǒng)裝置微處理器采用AT89S52單片機(jī),壓力傳感器為MPX4115,ADC0832模數(shù)轉(zhuǎn)換器進(jìn)行數(shù)據(jù)轉(zhuǎn)換,采用單片機(jī)直接驅(qū)動(dòng)四位一體共陽七段式數(shù)碼管進(jìn)行壓力數(shù)據(jù)的顯示。并且系統(tǒng)氣壓范圍為15kpa-110kpa,如果超過氣壓設(shè)置的上下限,系統(tǒng)就會(huì)報(bào)警。硬件電路原理圖如圖2所示:圖2 硬件電路原理圖

14、(2)MPX4115管腳連接電路圖3 MPX4115管腳連接圖 MPX4115氣壓傳感器的1腳接ADC0832的CH0端,2腳接地,3腳接電源。另接一個(gè)電壓表,作為參考。 (3)LED數(shù)碼管顯示電路 圖4 LED數(shù)碼管顯示電路 (4)報(bào)警電路 系統(tǒng)中的報(bào)警電路是由三極管、蜂鳴器、和限流電阻組成,并與單片機(jī)P1.4相連,其電路圖如下:圖5 報(bào)警電路 (5)復(fù)位電路RST 圖6 復(fù)位電路2.3 壓力傳感器 (1)壓力傳感器的選擇 壓力傳感器對(duì)于系統(tǒng)至關(guān)重要,需要綜合實(shí)際的需求和各類壓力傳感器的性能參數(shù)加以選擇。一般要選用有溫度補(bǔ)償作用的壓力傳感器,因?yàn)闇囟妊a(bǔ)償特性可以克服半導(dǎo)體壓力傳感器件存在的溫

15、度漂移問題。本設(shè)計(jì)要實(shí)現(xiàn)的數(shù)字壓力顯示的是絕對(duì)壓力值,同時(shí)為了簡(jiǎn)化電路,提高穩(wěn)定性和抗干擾能力,要求使用具有溫度補(bǔ)償能力的壓力傳感器。經(jīng)過綜合考慮,本設(shè)計(jì)選用美國(guó)摩托羅拉公司的集成壓力傳感器,MPX4115壓力傳感器可以產(chǎn)生高精度模擬輸出電壓,并且內(nèi)部含有放大電路,不需要另外加放大電路。 (2)壓力傳感器MPX4115的原理3 MPX4115系列是壓電電阻傳感器是一個(gè)硅壓力傳感器。這個(gè)傳感器結(jié)合了高級(jí)的微電子技術(shù),薄膜鍍金屬。還能為高水準(zhǔn)模擬輸出信號(hào)提供一個(gè)均衡壓力。在0-85的溫度下誤差不超過1.5%,溫度補(bǔ)償是-40-125。小的外形和片上集成的高可靠性,使得它很受歡迎3。它的實(shí)物如下圖所

16、示:圖7 MPX4115的實(shí)物圖 壓力壓傳感器MPX4115的管腳說明如表1所示:表1 壓力傳感器MPX4115的管腳說明123456VOUTGNDVSN/SN/SN/S 壓力傳感器MPX4114的特性參數(shù)如表2所示:表2 壓力傳感器MPX4115的特性參數(shù)參數(shù)符號(hào)最小典型最大單位壓力范圍Pop15-115KPa供電電壓Vs4.855.15.35Vdc供電電流Io-7.010mAdc最大壓力偏置 (085) Vs=5.0VVpss0.1350.2040.273Vdc滿量程輸出 (085) Vs=5.0VVoff4.7254.7944.863Vdc滿量程比例 (085) Vs=5.0VVFSS4

17、.5214.5904.695Vdc精 度 (085)-±1.5%Vfss靈敏度V/P-45.9-mV/KPa 響應(yīng)時(shí)間(10%90%)tR-1.0-ms 上升報(bào)警時(shí)間-20-ms偏置穩(wěn)定性-±0.5-%VFSS2.4 A/D轉(zhuǎn)換器 模擬量輸入通道的任務(wù)是將模擬量轉(zhuǎn)換成數(shù)字量。能夠完成這一任務(wù)的器件稱為模數(shù)轉(zhuǎn)換器,簡(jiǎn)稱A/D轉(zhuǎn)換器。 (1)A/D轉(zhuǎn)換器的選擇 目前單片機(jī)在電子產(chǎn)品中已經(jīng)得到廣泛應(yīng)用,許多類型的單片機(jī)內(nèi)部已帶有A/D轉(zhuǎn)換電路,但此類單片機(jī)會(huì)比無A/D轉(zhuǎn)換功能的單片機(jī)在價(jià)格上高出很多,我們采用一個(gè)普通的單片機(jī)加上一個(gè)A/D轉(zhuǎn)換器,實(shí)現(xiàn)A/D轉(zhuǎn)換功能,可以選擇ADC

18、0832、ADC0809等;串行和并行接口模式是A/D轉(zhuǎn)換器諸多分類中得一種,但是卻是應(yīng)用中器件選擇的一個(gè)重要指標(biāo)。對(duì)A/D轉(zhuǎn)換器的選擇我們通過比較ADC0809和ADC0832來決定。這兩個(gè)轉(zhuǎn)換器都是常見的A/D轉(zhuǎn)換器,其中ADC0809是并行接口A/D轉(zhuǎn)換器,ADC0832是串行接口A/D轉(zhuǎn)換器。本設(shè)計(jì)選擇的是ADC0832,A/D轉(zhuǎn)換在單片機(jī)接口中應(yīng)用廣泛,串行A/D轉(zhuǎn)換器具有功耗低、性價(jià)比較高、芯片引腳少等特點(diǎn)。 (2)ADC0832的簡(jiǎn)介 ADC0832引腳功能 ADC0832 是美國(guó)國(guó)家半導(dǎo)體公司生產(chǎn)的一種8位分辨率、雙通道A/D轉(zhuǎn)換芯片。因?yàn)樗畜w積小,兼容性好,性價(jià)比高等特點(diǎn)而

19、得到單片機(jī)愛好者的親賴,其目前的普及率非常高。ADC0832 為8位分辨率A/D轉(zhuǎn)換芯片,其最高分辨可達(dá)256級(jí),可以滿足一般的模擬量的轉(zhuǎn)換要求。其參考電壓的復(fù)用與內(nèi)部電源輸入,使得芯片的模擬電壓輸入在05V之間。芯片轉(zhuǎn)換時(shí)間很短,僅為32S,可以通過雙數(shù)據(jù)輸出作為數(shù)據(jù)校驗(yàn)以減少數(shù)據(jù)誤差,其轉(zhuǎn)換速度快且穩(wěn)定性能比較強(qiáng)。通過DI 數(shù)據(jù)輸入端,可以輕易的實(shí)現(xiàn)通道功能的選擇4。其引腳圖如圖8所示: 圖8 ADC0832引腳圖 片選端口,低電平時(shí)芯片工作;CH0 是模擬輸入通道0,或者可作為IN+/-使用; CH1 是模擬輸入通道1,或者可作為IN+/-使用;GND 芯片參考0 電位(地);DI 是數(shù)

20、據(jù)信號(hào)輸入,選擇通道控制;DO 是數(shù)據(jù)信號(hào)輸出,轉(zhuǎn)換數(shù)據(jù)輸出;CLK 是芯片時(shí)鐘信號(hào)輸入;Vcc/REF 是電源輸入及參考電壓輸入(復(fù)用); ADC0832的特點(diǎn):8位分辨率;雙通道A/D轉(zhuǎn)換;輸入輸出電平與CMOS/TTL相兼容;5V電源供電的時(shí)候輸入電壓的范圍為05V;轉(zhuǎn)換時(shí)間為32S,工作頻率為250KHZ;一般的功耗僅僅為15mW;8P、14PDIP(雙列直插)、PICC 多種封裝;商用級(jí)芯片溫寬為0°C +70°C,工業(yè)級(jí)芯片溫寬為40°C +85°C; 配置位說明5: ADC0832工作時(shí),輸入時(shí)序的配置位決定了模擬通道的選擇及單端輸入和差分

21、輸入的選擇,如果是差分輸入的時(shí)候,就需要分配輸入通道的正負(fù)極性,兩個(gè)輸入通道的任何一個(gè)通道都可作為正極或著負(fù)極。ADC0832的配置位的邏輯表見表3所示: 表3 ADC0832的配置位邏輯表輸入格式配置位選擇通道號(hào)CH0CH1CH0CH1差分LL+-LH-+單端HL+HH+表中“+”則說明輸入通道的端點(diǎn)是正極性;“-”則說明輸入端點(diǎn)是負(fù)極性,H表示高電平且L表示低電平。輸入配置位時(shí),低位(CH1)在后,高位(CH0)在前。 (3)ADC0832的工作時(shí)序圖由圖可知,若當(dāng)CS從高電平變?yōu)榈碗娖降臅r(shí)候,那么就選擇ADC0832。在時(shí)鐘信號(hào)的上升沿時(shí)DI端的數(shù)據(jù)就會(huì)移入到ADC0832內(nèi)部的多路地址

22、移位寄存器。在第一個(gè)時(shí)鐘信號(hào)期間,DI為高,則說明啟動(dòng)位,緊接著就會(huì)輸入兩位配置位。當(dāng)輸入啟動(dòng)位和配置位后,選通輸入模擬通道,轉(zhuǎn)換開始。轉(zhuǎn)換開始后,經(jīng)過一個(gè)時(shí)鐘周期延接著在第一個(gè)時(shí)鐘周期延遲,以使選定的通道穩(wěn)定。ADC0832緊接著在第4個(gè)時(shí)鐘下降沿輸出轉(zhuǎn)換數(shù)據(jù)。數(shù)據(jù)輸出時(shí)先輸出最高位(D7D0),兩次發(fā)送的最低位共用。當(dāng)片選CS為高時(shí),內(nèi)部所有寄存器清0,輸出變?yōu)楦咦钁B(tài)。如果再進(jìn)行一次模擬轉(zhuǎn)換,片選必須再次從高向低跳變,后面再輸入啟動(dòng)位和配置位4。圖9 ADC0832的工作時(shí)序圖 (4)單片機(jī)對(duì)ADC0832 的控制原理 ADC0832與單片機(jī)的接口電路如圖10所示: 圖10 ADC0832

23、與單片機(jī)的接口電路圖 一般情況下,ADC0832 與單片機(jī)的接口應(yīng)為CS、CLK、DI、DO這4條數(shù)據(jù)線。在電路設(shè)計(jì)的時(shí)候可以把DI和DO并聯(lián)在一根數(shù)據(jù)線上進(jìn)行使用,這是因?yàn)镈I端與DO端在通信的時(shí)候并沒有同時(shí)有效的而且它們與單片機(jī)的接口是雙向的。如果當(dāng)ADC0832芯片的CS輸入端為高電平時(shí)表示ADC0832停止使用,若CS輸入端為低電平時(shí),表示此芯片工作。CLK 和DO/DI 的電平可以任意。當(dāng)要進(jìn)行A/D轉(zhuǎn)換時(shí),CS輸入端必須要置于低電平并且保持低電平直到轉(zhuǎn)換完全結(jié)束為止。與此同時(shí)芯片開始轉(zhuǎn)換工作,并且同時(shí)由處理器向該芯片時(shí)鐘輸入端CLK 輸入時(shí)鐘脈沖,DO/DI端則會(huì)利用DI端輸入通道

24、功能選擇的數(shù)據(jù)信號(hào)。在第一個(gè)時(shí)鐘脈沖的下降之前DI端一定要是高電平,用來表示啟始信號(hào)。在第2個(gè)脈沖和3個(gè)脈沖下降之前DI端需要輸入2位數(shù)據(jù)作為選擇通道功能。其功能項(xiàng)如表4、表5所示:表4 功能項(xiàng)表(1)MUX AddressChannelSGL/DIFODD/SIGN0110+11+表5 功能項(xiàng)表(2)MUX AddressChannelSGL/DIFODD/SIGN0100+-01-+ 如表,若當(dāng)這2位數(shù)據(jù)都為“1”、“1”時(shí),表示只對(duì)CH1進(jìn)行單通道轉(zhuǎn)換,若當(dāng)此2位數(shù)據(jù)為“1”、“0”時(shí),表示只對(duì)CH0進(jìn)行單通道轉(zhuǎn)換。若當(dāng)這2位數(shù)據(jù)為“0”、“1”時(shí),表示將CH0作為負(fù)輸入端IN-,CH1

25、 作為正輸入端IN+進(jìn)行輸入。若當(dāng)這2位數(shù)據(jù)都為“0”、“0”時(shí),表示將CH0作為正輸入端IN+,CH1作為負(fù)輸入端IN-進(jìn)行輸入。當(dāng)?shù)降?個(gè)脈沖的下降之后DI端的輸入電平就會(huì)失去輸入作用,此后DI/DO端便會(huì)開始讀取轉(zhuǎn)換數(shù)據(jù),這是利用數(shù)據(jù)輸出DO端來進(jìn)行的,從第4個(gè)脈沖下降開始由DO端輸出轉(zhuǎn)換數(shù)據(jù)最高位DATA7,之后每一個(gè)脈沖下降DO端就會(huì)輸出下一位數(shù)據(jù)。直到第11個(gè)脈沖時(shí)發(fā)出最低位數(shù)據(jù)即是DATA0,這樣一個(gè)字節(jié)的數(shù)據(jù)輸出就完成了。同時(shí)也正是從此位開始輸出下一個(gè)相反字節(jié)的數(shù)據(jù),也就是說從第11個(gè)字節(jié)的下降輸出DATD0。之后輸出8位數(shù)據(jù),直到第19個(gè)脈沖時(shí)數(shù)據(jù)輸出完成,這也標(biāo)志著一次A/

26、D轉(zhuǎn)換的結(jié)束。最后把CS輸入端置高電平表示禁用ADC0832芯片,之后直接將轉(zhuǎn)換后的數(shù)據(jù)進(jìn)行處理就可以了。 作為單通道模擬信號(hào)輸入時(shí)ADC0832的輸入電壓的范圍是05V并且8位分辨率時(shí)的電壓精度為19.53mV。如果作為由IN-與IN+輸入時(shí),為了提高轉(zhuǎn)換的寬度,可以把電壓值設(shè)定在某一個(gè)較大范圍之內(nèi)。但是值得注意的是,在進(jìn)行IN+與IN-的輸入時(shí),如果IN-的電壓大于IN+的電壓則轉(zhuǎn)換后的數(shù)據(jù)結(jié)果始終為00H4。2.5 單片機(jī) 隨著電子技術(shù)的發(fā)展。單片機(jī)的功能將更加完善,因而單片機(jī)的應(yīng)用將更加普及。它們將在智能化儀器、家電產(chǎn)品、工業(yè)過程控制等方面得到更廣泛的應(yīng)用。單片機(jī)將是智能化儀器和中、小

27、型控制系統(tǒng)中應(yīng)用最多的有種微型計(jì)算機(jī)。 (1)AT89S52單片機(jī)簡(jiǎn)介4 AT89S52為 ATMEL 所生產(chǎn)的一種低功耗、高性能CMOS8位微控制器,具有8K在系統(tǒng)可編程Flash存儲(chǔ)器。該器件采用ATMEL高密度非易失存儲(chǔ)器制造技術(shù)制造,與工業(yè)標(biāo)準(zhǔn)的MCS-51指令集和輸出管腳相兼容。其引腳圖如圖11所示:圖11 AT89S52引腳分布圖 (2)AT89S52主要功能6 擁有靈巧的8位CPU和在系統(tǒng)可編程Flash 晶片內(nèi)部具時(shí)鐘振蕩器(傳統(tǒng)最高工作頻率可至 12MHz) 內(nèi)部程序存儲(chǔ)器(ROM)為 8KB 內(nèi)部數(shù)據(jù)存儲(chǔ)器(RAM)為 256字節(jié) 32 個(gè)可編程I/O 口線 8 個(gè)中斷向量

28、源 三個(gè) 16 位定時(shí)器/計(jì)數(shù)器 三級(jí)加密程序存儲(chǔ)器 全雙工UART串行通道 (3)AT89S52各引腳功能6 VCC:AT89S52電源正端輸入,接+5V。 VSS:電源地端。 XTAL1:?jiǎn)涡酒到y(tǒng)時(shí)鐘的反相放大器輸入端。 XTAL2:系統(tǒng)時(shí)鐘的反相放大器輸出端,一般在設(shè)計(jì)上只要在 XTAL1 和 XTAL2 上接上一只石英振蕩晶體系統(tǒng)就可以動(dòng)作了,與此同時(shí)還可以在兩引腳與地之間加入20PF 的小電容,這樣可以讓系統(tǒng)更穩(wěn)定,避免因?yàn)樵肼暩蓴_而出現(xiàn)死機(jī)情況。 RESET:它是AT89S52的重置引腳,高電平有效,當(dāng)要對(duì)芯片重置時(shí),讓AT89S52完成系統(tǒng)重置的各項(xiàng)動(dòng)作,則只要對(duì)此引腳電平提升

29、至高電平并保持兩個(gè)機(jī)器周期以上的時(shí)間,可以把內(nèi)部特殊功能寄存器的內(nèi)容都被設(shè)成已知狀態(tài),并且至地址0000H處開始讀入程序代碼而執(zhí)行程序7。 EA/Vpp:EA:存取外部程序代碼,低電平有效,這表示當(dāng)這個(gè)引腳接低電平后,系統(tǒng)會(huì)取用外部的程序代碼(存于外部EPROM中)來執(zhí)行程序。因此在8032和8031中,因?yàn)槠鋬?nèi)部無程序存儲(chǔ)器空間,EA引腳必須要接低電平。如果使用的是8751 內(nèi)部程序空間時(shí),此EA引腳必須要接成高電平。 ALE/PROG:地址鎖存器啟用信號(hào)。因?yàn)锳T89S52是以多工的方式送出地址及數(shù)據(jù)的,所以AT89S52可以利用ALE引腳來觸發(fā)外部的8位鎖存器(如74LS373),將端口

30、0的地址總線(A0A7)鎖進(jìn)鎖存器中。之所以可以利用ALE來驅(qū)動(dòng)其他周邊晶片的時(shí)基輸入,是因?yàn)槌绦驁?zhí)行的時(shí)候ALE引腳的輸出頻率約是系統(tǒng)工作頻率的1/6。 PSEN:此為“Program Store Enable”的縮寫,它表示的意思是程序儲(chǔ)存啟用,將8051設(shè)成為讀取外部程序代碼工作模式時(shí)(EA=0),就會(huì)送出此信號(hào)從而取得程序代碼,一般情況下這支引腳是接到EPROM的OE腳上。AT89S52也可以利用RD及PSEN引腳分別進(jìn)行啟用存在外部的EPROM與RAM,這樣可以讓程序存儲(chǔ)器與數(shù)據(jù)存儲(chǔ)器合并在一起而共同使用64K的定址范圍。 PORT0(P0.0P0.7): 端口0是一個(gè)8位寬的開路汲

31、極(Open Drain)雙向輸出入端口,共有8個(gè)位,P0.0表示位0,P0.1表示位1,依此類推。其他三個(gè)I/O端口(P1、P2、P3)則不具有此電路組態(tài),而是內(nèi)部有一提升電路,P0在當(dāng)做I/O用時(shí)可以推動(dòng)8個(gè)LS的TTL負(fù)載。如果當(dāng)EA引腳為低電平時(shí)(即取用外部程序代碼或數(shù)據(jù)存儲(chǔ)器),P0就以多工方式提供地址總線(A0A7)及數(shù)據(jù)總線(D0D7)。設(shè)計(jì)者必須外加一鎖存器將端口0送出的地址栓鎖住成為A0A7,再配合端口2所送出的A8A15合成一完整的16位地址總線,而定址到64K的外部存儲(chǔ)器空間8。 PORT2(P2.0P2.7): 端口2是具有內(nèi)部提升電路的雙向I/O端口,每一個(gè)引腳可以推

32、動(dòng)4個(gè)LS的TTL負(fù)載,若將端口2的輸出設(shè)為高電平時(shí),此端口便能當(dāng)成輸入端口來使用。P2除了當(dāng)做一般I/O端口使用外,若是在AT89S52擴(kuò)充外接程序存儲(chǔ)器或數(shù)據(jù)存儲(chǔ)器時(shí),也提供地址總線的高字節(jié)A8A15,這個(gè)時(shí)候P2便不能當(dāng)做I/O來使用了。 PORT1(P1.0P1.7): 端口1也是具有內(nèi)部提升電路的雙向I/O端口,其輸出緩沖器可以推動(dòng)4個(gè)LS TTL負(fù)載,同樣地若將端口1的輸出設(shè)為高電平,便是由此端口來輸入數(shù)據(jù)。如果是使用8052或是8032的話,P1.0又當(dāng)做定時(shí)器2的外部脈沖輸入腳,而P1.1可以有T2EX功能,可以做外部中斷輸入的觸發(fā)腳位。 PORT3(P3.0P3.7): 端口

33、3也具有內(nèi)部提升電路的雙向I/O端口,其輸出緩沖器可以推動(dòng)4個(gè)TTL負(fù)載,同時(shí)還多工具有其他的額外特殊功能,包括外部中斷控制、串行通信、計(jì)時(shí)計(jì)數(shù)控制及外部數(shù)據(jù)存儲(chǔ)器內(nèi)容的讀取或?qū)懭肟刂频裙δ?。其引腳分配如下: P3.0:RXD,串行通信輸入。 P3.1:TXD,串行通信輸出。 P3.2:INT0,外部中斷0輸入。 P3.3:INT1,外部中斷1輸入。 P3.4:T0,計(jì)時(shí)計(jì)數(shù)器0輸入。 P3.5:T1,計(jì)時(shí)計(jì)數(shù)器1輸入。 P3.6:WR:外部數(shù)據(jù)存儲(chǔ)器的寫入信號(hào)。 P3.7:RD,外部數(shù)據(jù)存儲(chǔ)器的讀取信號(hào)。 RST:復(fù)位輸入。 /PSEN:外部程序存儲(chǔ)器的選通信號(hào)。在由外部程序存儲(chǔ)器取指期間

34、,每個(gè)機(jī)器周期兩次/PSEN有效。但在訪問外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的/PSEN信號(hào)將不出現(xiàn)。 /EA/VPP:當(dāng)/EA保持低電平時(shí),則在此期間外部程序存儲(chǔ)器(0000H-FFFFH),不管是否有內(nèi)部程序存儲(chǔ)器。注意加密方式1時(shí),/EA將內(nèi)部鎖定為RESET;當(dāng)/EA端保持高電平時(shí),此間內(nèi)部程序存儲(chǔ)器。在FLASH編程期間,此引腳也用于施加12V編程電源(VPP)。 2.6 LED顯示接口 本次設(shè)計(jì)是利用AT89S52單片機(jī)與四位一體數(shù)碼管相連,這種設(shè)計(jì)方法具有硬件結(jié)構(gòu)簡(jiǎn)單、軟件編程容易和價(jià)格低廉等點(diǎn)。 (1)LED數(shù)碼管 數(shù)碼管由8個(gè)發(fā)光二極管構(gòu)成,通過不同的組合可用來顯示數(shù)字09、字符A

35、 F、H、L、P、U及小數(shù)點(diǎn)“.”。數(shù)碼管顯示器根據(jù)公共端的連接方式,可分為共陰極數(shù)碼管(將所有發(fā)光二極管的陰極連在一起)和共陽極數(shù)碼管(所有發(fā)光二極管的陽極連在一起)。以共陽極數(shù)碼管為例,8個(gè)發(fā)光二極管的陽極連接在一起,其共陽極接高電平(+5V),其它引腳接段驅(qū)動(dòng)電路輸出端。當(dāng)某一段為低電平的時(shí)候,該端所連接的字段導(dǎo)通并點(diǎn)亮,根據(jù)發(fā)光段的不同組合顯示數(shù)字或字符11。共陽數(shù)碼管的七個(gè)段筆畫是用低電平(“0”)點(diǎn)亮的,要求驅(qū)動(dòng)功率很??;而共陰數(shù)碼管七個(gè)段筆畫是用高電平(“1”)點(diǎn)亮的,要求驅(qū)動(dòng)功率較大。并且每個(gè)段筆畫要串一個(gè)數(shù)百歐姆的降壓電阻。所以本設(shè)計(jì)中在共陽數(shù)碼管的段筆畫上串了一個(gè)上拉排阻。

36、圖12 LED數(shù)碼管 要使數(shù)碼管顯示出相應(yīng)的數(shù)字或字符,必須使段數(shù)據(jù)口輸出相應(yīng)的字形編碼。共陰極和共陽極數(shù)碼管的字形編碼各不相同,見表6所示:表6 LED的16進(jìn)制ASCII碼表顯示字符共陽極字符共陰極字符顯示字符共陽極字符共陰極字符0COH3FHA88H77H1F9H06HB83H7CH2A4H5BHCC6H39H3BOH4FHDA1H5EH499H66HE86H79H592H6DHF8EH71H682H7DHP8CH73H7F8H07HH89H76H880H7FHLC7H38H990H6FH“滅”FFH00H (2)四位一體共陽數(shù)碼管數(shù)碼管內(nèi)部有4個(gè)單個(gè)數(shù)碼管共用adp這8根數(shù)據(jù)線,為人們

37、的使用提供了方便,因?yàn)槔锩嬗?個(gè)數(shù)碼管,所以它有4個(gè)公共端,加上adp,共有12個(gè)引腳。數(shù)碼管的外部引腳與位選、段選對(duì)應(yīng)如下:A11;B7;C4;D2;E1;F10;G5;BIT16;BIT28;BIT39;BIT412;DP3;如下圖所示: 圖13 四位一體數(shù)碼管數(shù)碼管的驅(qū)動(dòng)是指每個(gè)數(shù)碼管的每一個(gè)段碼即七段都是由一個(gè)單片機(jī)的I/O端口進(jìn)行驅(qū)動(dòng),也可以使用譯碼器譯碼進(jìn)行驅(qū)動(dòng)如BCD碼二十進(jìn)制。它的優(yōu)點(diǎn)是編程簡(jiǎn)單,顯示的亮度高,它的缺點(diǎn)是占用的I/O端口多,如果要驅(qū)動(dòng)6個(gè)數(shù)碼管靜態(tài)顯示則需要6×848根I/O端口來驅(qū)動(dòng),因?yàn)橐粋€(gè)51單片機(jī)可用的I/O端口只有32個(gè),在實(shí)際應(yīng)用中必須要增

38、加譯碼驅(qū)動(dòng)器進(jìn)行驅(qū)動(dòng),這樣做增加了硬件電路的復(fù)雜性。3 壓力檢測(cè)系統(tǒng)的軟件設(shè)計(jì)3.1系統(tǒng)主程序設(shè)計(jì)主程序的主要功能是負(fù)責(zé)實(shí)時(shí)檢測(cè)、讀出并處理MPX4115的測(cè)量的氣壓值,實(shí)時(shí)顯示壓力。其流程圖如圖14所示,主程序見附錄。報(bào)警不報(bào)警若氣壓值大于95kpa或小于20kpa數(shù)據(jù)采集送LED數(shù)碼管顯示系統(tǒng)初始化開始處理讀到的數(shù)據(jù)結(jié)束 是否 圖14 系統(tǒng)主程序流程圖3.2 A/D轉(zhuǎn)換器的軟件設(shè)計(jì) 單片機(jī)控制系統(tǒng)中通常要用到AD轉(zhuǎn)換,根據(jù)輸出格式,常用的AD轉(zhuǎn)換方式可分為串行AD和并行AD。串行方式所用到的芯片引腳比較少,而且封裝小,但是所需要的數(shù)據(jù)必須通過軟件處理才能得到。并行方式一般在轉(zhuǎn)換后可直接接收

39、,但是芯片的引腳相對(duì)來說就會(huì)比較多。而單片機(jī)I/O引腳本來就不是很多,使用串行器件可以節(jié)省I/O資源。所以本設(shè)計(jì)使用串行方式。ADC0832是一個(gè)8位逐次逼近型模數(shù)轉(zhuǎn)換器,可支持兩個(gè)單端輸入通道和一個(gè)差分輸入通道。與它有相同功能的器件還有ADC0834、ADC0838、ADC0831。所不同的是它們的輸入通道數(shù)量不同,通道選擇和配置都是通過軟件設(shè)置的。其流程圖如下:初始化函數(shù)開 始將轉(zhuǎn)換后的電壓轉(zhuǎn)換成壓力A/D轉(zhuǎn)換返回 圖15 A/D轉(zhuǎn)換子程序流程圖 3.3 LED數(shù)碼管顯示程序設(shè)計(jì) 在本次設(shè)計(jì)中用的是7SEG-MPX4-CA(4位共陽極7段式數(shù)碼顯示管)亦即相應(yīng)的單片機(jī)P1.0、P1.1、P

40、1.2、P1.3為高電平,數(shù)碼管才能點(diǎn)亮。 (1)動(dòng)態(tài)掃描顯示原理 P1.3、P1.2、P1.1、P1.0輸出低電平,關(guān)閉所有數(shù)碼管; 顯示個(gè)位把要顯示的數(shù)據(jù)送到P0.0P0.7,P1.3送高電平,延時(shí)5豪秒(時(shí)間不能太長(zhǎng),否則數(shù)碼管會(huì)閃爍),P1.3送低電平; 顯示十位把要顯示的數(shù)據(jù)送到P0.0P0.7,P1.2送高電平,延時(shí)5豪秒(時(shí)間不能太長(zhǎng),否則數(shù)碼管會(huì)閃爍),P1.2送低電平; 顯示百位把要顯示的數(shù)據(jù)送到P0.0P0.7,P1.1送高電平,延時(shí)5豪秒(時(shí)間不能太長(zhǎng),否則數(shù)碼管會(huì)閃爍),P1.1送低電平; 顯示千位把要顯示的數(shù)據(jù)送到P0.0P0.7,P1.0送高電平,延時(shí)5豪秒(時(shí)間不

41、能太長(zhǎng),否則數(shù)碼管會(huì)閃爍),P1.0送低電平。 以此順序循環(huán),把它做成子程序,在主循環(huán)中調(diào)用。 (2)LED顯示流程圖開始調(diào)用壓力子程序系統(tǒng)初始化調(diào)用顯示子程序 圖16 LED顯示流程圖4 創(chuàng)建Hex程序與系統(tǒng)仿真分析4.1 Keil C51 Windows集成開發(fā)環(huán)境Keil C51是目前世界上最優(yōu)秀、最強(qiáng)大的51單片機(jī)開發(fā)應(yīng)用平臺(tái)之一。它集編輯、編譯、仿真于一體,支持匯編、PL/M語言和C語言的程序設(shè)計(jì),界面友好,易學(xué)易用11。創(chuàng)建Hex程序步驟: (1) 創(chuàng)建一個(gè)工程項(xiàng)目文件;(2) 為工程選擇目標(biāo)器件;(3) 為工程項(xiàng)目設(shè)置軟硬件調(diào)試環(huán)境;(4) 創(chuàng)建源程序文件并輸入程序代碼;(5)

42、保存創(chuàng)建的源程序項(xiàng)目文件;(6) 把源程序文件添加到項(xiàng)目中;(7) 編譯生成hex程序文件;4.2 系統(tǒng)仿真分析在proteus里畫出電路原理圖,然后再把HEX程序文件導(dǎo)入單片機(jī)里即實(shí)現(xiàn)了結(jié)果仿真,調(diào)節(jié)壓力傳感器的上下箭頭數(shù)碼管就會(huì)顯示不同的值,若壓力值大于110kpa或者小于15kpa,則蜂鳴器會(huì)報(bào)警。當(dāng)調(diào)節(jié)氣壓傳感器的值為103.2時(shí),那么數(shù)碼管就會(huì)顯示相應(yīng)的壓力值,并且蜂鳴器會(huì)發(fā)出聲音進(jìn)行報(bào)警。如圖17所示:圖17 系統(tǒng)仿真圖 當(dāng)調(diào)節(jié)氣壓傳感器的值為94.5時(shí),送至ADC0832模數(shù)轉(zhuǎn)換器,再經(jīng)數(shù)碼管就會(huì)顯示相應(yīng)的壓力值。如圖18所示:圖18 系統(tǒng)仿真圖5 小結(jié)傳感器與壓力檢測(cè)技術(shù)已經(jīng)融

43、入進(jìn)我們生活、學(xué)習(xí)和工作的各個(gè)方面。隨著工農(nóng)業(yè)生產(chǎn)和國(guó)防、科研事業(yè)的不斷發(fā)展以及人民物質(zhì)文化生活水平的日益提高,必定會(huì)對(duì)壓力檢測(cè)技術(shù)提出進(jìn)一步擴(kuò)大應(yīng)用范圍和應(yīng)用深度的要求。近年來,在壓力檢測(cè)技術(shù)領(lǐng)域,多種新的檢測(cè)原理與傳感器的開發(fā)應(yīng)用,已取得了具有實(shí)用性的巨大進(jìn)展。因此,學(xué)習(xí)和研究壓力檢測(cè)技術(shù)有著深遠(yuǎn)的意義。 參考文獻(xiàn)1 應(yīng)變片壓力傳感器原理應(yīng)用.2 龔運(yùn)新,胡長(zhǎng)勝單片機(jī)實(shí)用技術(shù)教程 M北京:師范大學(xué)出版社.2005:24-353FreescaleSemiconductorDocumentNumber:MPX4115.4 劉雪雪.串行數(shù)據(jù)芯片的編程檢測(cè)方法 J.現(xiàn)代電子技術(shù).2010.5 AD

44、C0832中文資料.6 AT89S52單片機(jī)簡(jiǎn)介.7 黃仁欣,張琴.單片機(jī)原理與應(yīng)用技術(shù) M北京:清華大學(xué)出版社.2010.1:21-258 The description and pin description of the AT89S52.9 何曦光改進(jìn)型室內(nèi)安防系統(tǒng)的研究 D.武漢理工大學(xué).2008.10 王曉君,張英MCS196系列單片機(jī)分類與選型指南 J.單片機(jī)與嵌入式系統(tǒng)應(yīng)用2001(4):21-2411 萬志平.基于DDS技術(shù)的信號(hào)發(fā)生器設(shè)計(jì) D.復(fù)旦大學(xué).2006.12 伍馮潔,謝陳躍,謝斌Proteus與keil在單片機(jī)開放性試驗(yàn)中的應(yīng)用 J.電子測(cè)量技術(shù)200831(6):

45、100-10313 趙建飛.基于Simulink與AVR單片機(jī)的多接口音頻系統(tǒng)的仿真與構(gòu)建 D.青海師范大學(xué).2010.14 張齊,朱寧西.單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)技術(shù)基于C51的Proteus仿真 M.電子工業(yè)出版社. 2009.1 15 周杏鵬,仇國(guó)富,王壽英等.現(xiàn)代檢測(cè)技術(shù) M高等教育出版社.2004.116 張萌,和湘,姜斌.單片機(jī)應(yīng)用系統(tǒng)開發(fā)總綜合實(shí)例 M清華大學(xué)出版社.2007.7:426-436 17 Zhang Hai-feng,Zhao Ai-ling,HOU Jun .Design of Fumigation Temperature Control System Based on

46、 Single-Chip Microcontroller JProcedia Engineering.2011.15:24618 吳仲成傳感器的發(fā)展方向網(wǎng)絡(luò)化智能傳感器 J.電子技術(shù)應(yīng)用2001(2):22-2519 基于MPX4115的數(shù)字壓力測(cè)量?jī)x器.20 Morriss,Brian.C Progrgrammable Logic Controllers JUpper Saddle River,NY:Prentice Hall,2000:52-55.21 賈伯年,俞樸主編.傳感器技術(shù) M南京:東南大學(xué)出版社,200022 張福學(xué).傳感器應(yīng)用及其電路精選 M.北京:電子工業(yè)出版社1992.3:

47、56-6823 M Kandler;Y Manoli;W Mokwa;E Spiegel;H Vogt.A miniature single-chip pressure and temperature sensor J.Journal of Micromechanics and Microengineering.1992.2(3):14624 譚浩強(qiáng).C程序設(shè)計(jì)(第三版) M.清華大學(xué)出版社.2005年.25 M Q Cai.MCS-51 series single-chip microcomputer system and its application M.Beijing: Higher Education Press, 1992.8. 附錄附錄 硬件電路原理圖附錄 系統(tǒng)程序/*/#include <reg51.H> #include "intrins.h"#define uint unsigned int#define uchar unsigned char/ADC0832的引腳sbit ADCS =P20; /ADC0832 chip seclectsbit ADDI =

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論