基于PLC的六層電梯系統(tǒng)設(shè)計(jì)_第1頁(yè)
基于PLC的六層電梯系統(tǒng)設(shè)計(jì)_第2頁(yè)
基于PLC的六層電梯系統(tǒng)設(shè)計(jì)_第3頁(yè)
基于PLC的六層電梯系統(tǒng)設(shè)計(jì)_第4頁(yè)
基于PLC的六層電梯系統(tǒng)設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩20頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、電氣及自動(dòng)化課程設(shè)計(jì)報(bào)告題 目: 基于PLC的六層電梯系統(tǒng)設(shè)計(jì) 課 程: PLC原理與應(yīng)用 學(xué)生姓名: 學(xué)生學(xué)號(hào): 年 級(jí): 14級(jí) 專 業(yè): 自動(dòng)化 班 級(jí): 2班 指導(dǎo)教師: 機(jī)械與電氣工程學(xué)院制2017年6月目 錄1課程設(shè)計(jì)的任務(wù)及要求11.1課程設(shè)計(jì)的任務(wù)11.2課程設(shè)計(jì)的要求12設(shè)計(jì)的內(nèi)容及主要功能12.1設(shè)計(jì)內(nèi)容12.2主要功能13 PLC概述23.1 PLC的定義23.2 PLC的基本結(jié)構(gòu)23.3 PLC工作原理43.4 PLC編程語(yǔ)言44 電梯簡(jiǎn)介54.1 電梯結(jié)構(gòu)54.2 電梯控制要求75 系統(tǒng)硬件選取85.1 PLC的選取85.2 系統(tǒng)I/O分配表96 系統(tǒng)軟件設(shè)計(jì)及仿真1

2、16.1 初始化116.2 內(nèi)外呼信號(hào)的記憶與消除116.3 平層信號(hào)126.4 電梯上下行信號(hào)136.5 自動(dòng)及手動(dòng)開關(guān)門信號(hào)146.6 加減速信號(hào)156.7 程序仿真177設(shè)計(jì)總結(jié)20參考文獻(xiàn)21基于PLC的六層電梯系統(tǒng)設(shè)計(jì)機(jī)械與電氣工程學(xué)院 自動(dòng)化專業(yè)1課程設(shè)計(jì)的任務(wù)及要求1.1課程設(shè)計(jì)的任務(wù)使用西門子S7-200PLC編寫程序?qū)崿F(xiàn)六層電梯系統(tǒng)的設(shè)計(jì)并使用仿真軟件進(jìn)行其功能的實(shí)現(xiàn)。1.2課程設(shè)計(jì)的要求(1)編程實(shí)現(xiàn)按下呼梯按鈕時(shí)按鈕指示燈亮;(2)編程實(shí)現(xiàn)電梯自動(dòng)平層,加減速;(3)編程實(shí)現(xiàn)電梯到層后自動(dòng)開門與自動(dòng)關(guān)門;(4)運(yùn)行過(guò)程中監(jiān)控電梯所在樓層并顯示在數(shù)碼管上。2設(shè)計(jì)的內(nèi)容及主要

3、功能2.1設(shè)計(jì)內(nèi)容目前電梯的控制普遍采用了兩種方式,一是采用微機(jī)作為信號(hào)控制單元,完成電梯信號(hào)的采集、運(yùn)行狀態(tài)和功能的設(shè)定,實(shí)現(xiàn)電梯的自動(dòng)調(diào)度和集選運(yùn)行功能,拖動(dòng)控制則由變頻器來(lái)完成;第二種控制方式用可編程控制器取代微機(jī)實(shí)現(xiàn)信號(hào)控制。從控制方式和性能上來(lái)說(shuō),這兩種方法并沒有太大的區(qū)別。PLC可靠性高,程序設(shè)計(jì)方便靈活。本設(shè)計(jì)在用PLC控制電梯進(jìn)行上下行,開關(guān)門等動(dòng)作。2.2主要功能(1)行車途中如遇呼梯信號(hào)時(shí),順向截車,反向不截車;(2)內(nèi)選信號(hào)、呼梯信號(hào)具有記憶功能,執(zhí)行后解除;(3)內(nèi)選信號(hào)、呼梯信號(hào)、行車方向、行車樓層位置均有信號(hào)燈指示;(4)停層時(shí)可延時(shí)3s自動(dòng)開門、手動(dòng)開門、(關(guān)門過(guò)

4、程中)本層順向呼梯開門;(5)有內(nèi)選信號(hào)時(shí)延時(shí)自動(dòng)關(guān)門,關(guān)門后延時(shí)自動(dòng)行車;(6)停層進(jìn)人超重時(shí)進(jìn)行報(bào)警不關(guān)門,行車過(guò)程超重不響應(yīng)外呼信號(hào);(7)集選控制,將所有呼梯信號(hào)集中進(jìn)行分析比較選取最優(yōu)路線行車。3 PLC概述3.1 PLC的定義20世紀(jì)70年代末至80年代初期,微處理器日趨成熟,使PLC的處理速度大大提高,增加了許多功能。在軟件方面,除了保持原有的邏緝運(yùn)算、計(jì)時(shí)、計(jì)數(shù)等功能以外,還增加了算術(shù)運(yùn)算、數(shù)據(jù)處理、網(wǎng)絡(luò)通信、自診斷等功能。在硬件方面,除了保持原有的開關(guān)模塊以外,還增加了模擬量模塊、遠(yuǎn)程I/O模塊、各種特殊功能模塊,并擴(kuò)大了存儲(chǔ)器的容量,而且還提供一定數(shù)量的數(shù)據(jù)寄存器。為此,美

5、國(guó)電氣制造協(xié)會(huì)將可編程序邏輯控制器,正式命名為編程序控制器(Programmable Controller),簡(jiǎn)稱PC。但由于PC容易和個(gè)人計(jì)算機(jī)PC(Personal Computer)混淆,故人們?nèi)粤?xí)慣地用PLC作為可編程序控制器的簡(jiǎn)稱1。    由該定義可知:PLC是一種由“事先存貯的程序”來(lái)確定控制功能的工控類計(jì)算機(jī)。3.2 PLC的基本結(jié)構(gòu)PLC實(shí)質(zhì)是一種專用于工業(yè)控制的計(jì)算機(jī),其硬件結(jié)構(gòu)基本上與微型計(jì)算機(jī)相同,如圖2.1所示:圖3-1 PLC硬件結(jié)構(gòu)1、中央處理單元(CPU) 中央處理單元(CPU)是PLC 的控制中樞。它按照PLC 系統(tǒng)程序

6、賦予的功能接收并存儲(chǔ)從編程器鍵入的用戶程序和數(shù)據(jù):檢查電源、存儲(chǔ)器、I/O 以及警戒定時(shí)器的狀態(tài),并能診斷用戶程序中的語(yǔ)法錯(cuò)誤,當(dāng)PLC 投入運(yùn)行時(shí),首先它以掃描的方式接收現(xiàn)場(chǎng)各輸入裝置的狀態(tài)和數(shù)據(jù),并分別存入I/O 映象區(qū),然后從用戶程序存儲(chǔ)器中逐條讀取用戶程序,經(jīng)過(guò)命令解釋后按指令的規(guī)定執(zhí)行邏輯或算數(shù)運(yùn)算的結(jié)果送入I/O 映象區(qū)或數(shù)據(jù)寄存器內(nèi)。等所有的用戶程序執(zhí)行完畢之后,最后將I/O 映象區(qū)的各輸出狀態(tài)或輸出寄存器內(nèi)的數(shù)據(jù)傳送到相應(yīng)的輸出裝置,如此循環(huán)運(yùn)行,直到停止運(yùn)行。 為了進(jìn)一步提高PLC 的可靠性,靈活性,近年來(lái)對(duì)大型PLC 還采用雙CPU 構(gòu)成冗余系統(tǒng),或采用三CPU 的表決式系

7、統(tǒng)。這樣,即使某個(gè)CPU 出現(xiàn)故障,整個(gè)系統(tǒng)仍能正常運(yùn)行2。2、存儲(chǔ)器(Memory) 可編程控制器的控制中樞,在系統(tǒng)監(jiān)控下工作,承擔(dān)著將外部輸入的信號(hào)的狀態(tài)寫入映像寄存器區(qū)域,然后將結(jié)果送到輸出映像寄存器區(qū)域。CPU常用的微處理器有通用型微處理器,單片機(jī)和位片式計(jì)算機(jī)等。小型PLC的CPU多采用單片機(jī)或?qū)S玫腃PU。大型PLC的CPU多用位片式結(jié)構(gòu),具有高速數(shù)據(jù)處理能力。 3、基本I/O接口電路 (1)輸入接口單元。PLC內(nèi)部輸入電路作用是將PLC外部電路(如行程開關(guān)、按鈕、傳感器等)提供的、符合PLC輸入電路要求的電壓信號(hào),通過(guò)光耦電路送至PLC內(nèi)部電路。輸入

8、電路通常以光電隔離和阻容濾波的方式提高抗干擾能力,輸入響應(yīng)時(shí)間一般在0.115ms之間。多數(shù)PLC的輸入接口單元都相同,通常有兩種類型。一種是直流輸入,一種是交流輸入。 (2)輸出接口單元。PLC輸出電路用來(lái)將CPU運(yùn)算的結(jié)果變換成一定形式的功率輸出,驅(qū)動(dòng)被控負(fù)載(電磁鐵、繼電器、接觸器線圈等)。PLC輸出電路結(jié)構(gòu)形式分為繼電器式、晶閘管式和晶體管輸出型等三種。 4、接口電路 PLC接口電路分為I/O擴(kuò)展接口電路和外設(shè)通信接口電路兩類 (1)I/O擴(kuò)接口電路 I/O擴(kuò)展接口電路用連接I/O擴(kuò)展單元,可以用來(lái)擴(kuò)充開關(guān)量I/O點(diǎn)數(shù)和增加模擬量的I/

9、O端子。I/O擴(kuò)展接口電路采用并行接口和串行接口兩種電路形式。 (2)外設(shè)通信接口電路 通信接口電路用于連接手持編程器或其他圖形編程器、文本顯示器,并能組成PLC的控制網(wǎng)絡(luò)。PLC通過(guò)PC/PPI電纜或使用MPI卡通過(guò)RS-485接口和電纜與計(jì)算機(jī)連接,可以實(shí)現(xiàn)編程、監(jiān)控、聯(lián)網(wǎng)等功能3。 3.3 PLC工作原理PLC是采用“順序掃描,不斷循環(huán)”的方式進(jìn)行工作的。即在PLC運(yùn)行時(shí),CPU根據(jù)用戶按控制要求編制好并存于用戶存儲(chǔ)器中的程序,按指令步序號(hào)(或地址號(hào))作周期性循環(huán)掃描,如無(wú)跳轉(zhuǎn)指令,則從第一條指令開始逐條順序執(zhí)行用戶程序,直至程序結(jié)束。然后重新返回第一條指令

10、,開始下一輪新的掃描。在每次掃描過(guò)程中,還要完成對(duì)輸入信號(hào)的采樣和對(duì)輸出狀態(tài)的刷新等工作。PLC的一個(gè)掃描周期必經(jīng)輸入采樣、程序執(zhí)行和輸出刷新三個(gè)階段4。圖3-2 PLC工作原理圖3.4 PLC編程語(yǔ)言梯形圖編程語(yǔ)言的特點(diǎn)是:與電氣操作原理圖相對(duì)應(yīng),具有直觀性和對(duì)應(yīng)性;與原有繼電器控制相一致,電氣設(shè)計(jì)人員易于掌握5。梯形圖編程語(yǔ)言與原有的繼電器控制的不同點(diǎn)是,梯形圖中的能流不是實(shí)際意義的電流,內(nèi)部的繼電器也不是實(shí)際存在的繼電器,應(yīng)用時(shí),需要與原有繼電器控制的概念區(qū)別對(duì)待6。圖3-3 西門子S7-200梯形圖語(yǔ)言4 電梯簡(jiǎn)介4.1 電梯結(jié)構(gòu)電梯是機(jī)、電一體化產(chǎn)品。用電氣其機(jī)械部分好比是人的軀體,

11、電氣部分相當(dāng)于人的神經(jīng),控制部分相當(dāng)于人的大腦。各部分通過(guò)控制部分調(diào)度,密切協(xié)同,使電梯可靠運(yùn)行。盡管電梯的品種繁多,但目前使用的電梯絕大多數(shù)為電力拖動(dòng)、鋼絲繩曳引式結(jié)構(gòu),其機(jī)械部分由曳引系統(tǒng),轎廂和門系統(tǒng),平衡系統(tǒng),導(dǎo)向系統(tǒng)以及機(jī)械安全保護(hù)裝置組成。而電氣控制部分由電力拖動(dòng)系統(tǒng),運(yùn)行邏輯功能控制系統(tǒng)和電氣安全保護(hù)等系統(tǒng)組成。電梯基本結(jié)構(gòu)如圖4-1所示。(1)曳引系統(tǒng) 電梯曳引系統(tǒng)的功能是輸出動(dòng)力和傳遞動(dòng)力,驅(qū)動(dòng)電梯運(yùn)行。主要由曳引機(jī),曳引鋼絲繩,導(dǎo)向輪和反繩輪組成。曳引機(jī)為電梯的運(yùn)行提供動(dòng)力,由電動(dòng)機(jī),曳引輪,連軸器,減速箱,和電磁制動(dòng)器組成。曳引鋼絲的兩端分別連著轎廂和對(duì)重,依靠

12、鋼絲繩和曳引輪之間的摩擦來(lái)驅(qū)動(dòng)轎廂升降。導(dǎo)向輪的作用是分開轎廂和對(duì)重的間距,采用復(fù)繞型還可以增加曳引力。圖4-1 電梯結(jié)構(gòu)2)導(dǎo)向系統(tǒng) 導(dǎo)向系統(tǒng)由導(dǎo)軌,導(dǎo)靴和導(dǎo)軌架組成。它的作用是限制轎廂和對(duì)重的活動(dòng)自由度,使得轎廂和對(duì)重只能沿著導(dǎo)軌做升降運(yùn)動(dòng)。(3)門系統(tǒng) 門系統(tǒng)有轎廂門,層門,開門,連動(dòng)機(jī)構(gòu)等組成。轎廂門設(shè)在轎廂入口,由門扇,門導(dǎo)軌架,等組成,層門設(shè)在層站入口處。開門機(jī)設(shè)在轎廂上,是轎廂和層門的動(dòng)力源。 (4)轎廂 轎廂是運(yùn)送乘客或者貨物的電梯組件。它是有轎廂架和轎廂體組成的。轎廂架是轎廂體的承重機(jī)構(gòu),由橫梁,立柱,底梁,和斜拉桿等組成。轎廂體由廂底

13、,轎廂壁,轎廂頂以及照明通風(fēng)裝置,轎廂裝飾件和轎廂內(nèi)操縱按鈕板等組成。轎廂體空間的大小由額定載重量和額定客人數(shù)決定 (5)重量平衡系統(tǒng) 重量平衡系統(tǒng)由對(duì)重和重量補(bǔ)償裝置組成。對(duì)重由對(duì)重架和對(duì)重塊組成。對(duì)重將平衡轎廂自重和部分額定載重。重量補(bǔ)償裝置是補(bǔ)償高層電梯中轎廂與對(duì)重側(cè)曳引鋼絲繩長(zhǎng)度變化對(duì)電梯的平衡設(shè)計(jì)影響的裝置。 (6)電力拖動(dòng)系統(tǒng) 電力拖動(dòng)系統(tǒng)由曳引電機(jī),供電系統(tǒng),速度反饋裝置,調(diào)速裝置等組成,的作用是對(duì)電梯進(jìn)行速度控制。曳引電機(jī)是電梯的動(dòng)力源,根據(jù)電梯配置可采用交流電機(jī)或者直流電機(jī)。供電系統(tǒng)是為電機(jī)提供電源的裝置。速度反饋系統(tǒng)是為調(diào)速系統(tǒng)提供電

14、梯運(yùn)行速度信號(hào)。一般采用測(cè)速發(fā)電機(jī)或速度脈沖發(fā)生器與電機(jī)相連。調(diào)速裝置對(duì)曳引電機(jī)進(jìn)行速度控制。 (7)安全保護(hù)系統(tǒng) 安全保護(hù)系統(tǒng)包括機(jī)械的和電氣的各種保護(hù)系統(tǒng),可保護(hù)電梯安全的使用。機(jī)械方面的有:限速器和安全鉗起超速保護(hù)作用,緩沖器起沖頂和撞底保護(hù)作用,還有切斷總電源的極限保護(hù)裝置。電氣方面的安全保護(hù)在電梯各個(gè)運(yùn)行環(huán)節(jié)中都有體現(xiàn)7。4.2 電梯控制要求根據(jù)不同樓層客戶需求及時(shí)響應(yīng),實(shí)現(xiàn)自動(dòng)平層、開關(guān)門、超重提示、實(shí)現(xiàn)上下限位,層門聯(lián)鎖保護(hù)等,并根據(jù)不同的需求實(shí)現(xiàn)合理的響應(yīng)。具體地,應(yīng)具備如下功能:(1)電梯初始化比賽開始時(shí),電梯模型會(huì)給出自動(dòng)運(yùn)行信號(hào),示意比賽開始,控制程序

15、需要在收到該信號(hào)后,進(jìn)行必要的初始化工作,完成后使電梯位于基站(即一層)待命,并返回準(zhǔn)備就緒信號(hào)以確認(rèn)。(2)集選控制集選控制是指在信號(hào)控制的基礎(chǔ)上把召喚信號(hào)集合起來(lái)進(jìn)行有選擇的應(yīng)答。電梯在運(yùn)行過(guò)程中可以應(yīng)答同一方向所有層站呼梯信號(hào)和轎廂內(nèi)的選層指令信號(hào),并自動(dòng)在這些信號(hào)指定的層站平層??俊#?)開關(guān)門控制電梯門會(huì)根據(jù)當(dāng)前電梯的狀態(tài)、轎廂門的狀態(tài)、呼梯信號(hào)、選層信號(hào)及光幕信號(hào)狀態(tài)等,合理的進(jìn)行相應(yīng)的響應(yīng)。當(dāng)門未全關(guān)時(shí),如有光幕信號(hào),須優(yōu)先響應(yīng),保持電梯門打開;當(dāng)電梯平層開門后,延時(shí)關(guān)閉,此時(shí)間可修改。(4)啟??刂聘鶕?jù)電梯主電路,完成按時(shí)間原則的啟動(dòng)、停止過(guò)程。當(dāng)電梯平層時(shí),需要依時(shí)間原則依次

16、觸發(fā)三級(jí)制動(dòng)減速,待平層后,切斷上行、下行接觸器,抱閘停車。(5)運(yùn)行控制在運(yùn)行過(guò)程中,需要始終對(duì)當(dāng)前運(yùn)行方向、當(dāng)前樓層(采用七段數(shù)碼管顯示)進(jìn)行實(shí)時(shí)監(jiān)控與顯示。5 系統(tǒng)硬件選取5.1 PLC的選取根據(jù)電梯設(shè)計(jì)的輸入輸出接點(diǎn)要求,選取圖5-1中的西門子S7-200 CPU226 PLC,同時(shí)為了擴(kuò)充輸入輸出接口,還需要EM223擴(kuò)展模塊。表5-2-1 S7-200系列選型表規(guī)格/描述CPUDC/DC/DC;6點(diǎn)輸入/4點(diǎn)輸出CPU221AC/DC/繼電器;6點(diǎn)輸入/4點(diǎn)輸出DC/DC/DC;8點(diǎn)輸入/6點(diǎn)輸出CPU222AC/DC/繼電器;8點(diǎn)輸入/6點(diǎn)輸出DC/DC/DC;14點(diǎn)輸入/10點(diǎn)

17、輸出CPU224AC/DC/繼電器;6點(diǎn)輸入/4點(diǎn)輸出DC/DC/DC;24點(diǎn)輸入/16點(diǎn)晶體管輸出CPU226AC/DC/繼電器;24點(diǎn)輸入/16點(diǎn)輸出數(shù)字量擴(kuò)展模塊系列號(hào)4點(diǎn)輸入,24V DC 4點(diǎn)輸出,24V DCEM2234點(diǎn)輸入,24V DC 4點(diǎn)輸出,24V 繼電器16點(diǎn)輸入,24V DC 16點(diǎn)輸出,24V DC16點(diǎn)輸入,24V DC 16點(diǎn)輸出,24V 繼電器32點(diǎn)輸入,24V DC 32點(diǎn)輸出,24V DC5.2 系統(tǒng)I/O分配表六層電梯輸入變量如表5-3-1所示:表5-3-1輸入接口序號(hào)變量名稱偏移地址1六層下行按鈕I +1.02五層下行按鈕I +1.13五層上行按鈕I

18、+1.24四層下行按鈕I +1.35四層上行按鈕I +1.46三層下行按鈕I +1.57三層上行按鈕I +1.68二層下行按鈕I +1.79二層上行按鈕I +2.010一層上行按鈕I +2.111轎廂內(nèi)按鈕6I +2.212轎廂內(nèi)按鈕5I +2.313轎廂內(nèi)按鈕4I +2.414轎廂內(nèi)按鈕3I +2.515轎廂內(nèi)按鈕2I +2.616轎廂內(nèi)按鈕1I +2.717轎廂門開按鈕I +3.018轎廂門關(guān)按鈕I +3.119紅外光幕信號(hào)I +3.220超重信號(hào)I +3.321檢修開關(guān)I +3.422轎廂門鎖信號(hào)I +3.5231層樓層門鎖信號(hào)I +3.6242層樓層門鎖信號(hào)I +3.7253層樓層門鎖

19、信號(hào)I +4.0264層樓層門鎖信號(hào)I +4.1275層樓層門鎖信號(hào)I +4.2286層樓層門鎖信號(hào)I +4.329電梯門開到位信號(hào)I +4.430電梯門關(guān)到位信號(hào)I +4.531上平層信號(hào)I +4.632下平層信號(hào)I +4.733上端站第1限位I +5.034上端站第2限位I +5.135下端站第1限位I +5.2六層電梯輸出變量如表5-3所示:表5-3-2 輸出接口序號(hào)變量名稱偏移地址1六層外呼指示燈(下)Q +2.02五層外呼指示燈(下)Q +2.13五層外呼指示燈(上)Q +2.24四層外呼指示燈(下)Q +2.35四層外呼指示燈(上)Q +2.46三層外呼指示燈(下)Q +2.57三

20、層外呼指示燈(上)Q +2.68二層外呼指示燈(下)Q +2.79二層外呼指示燈(上)Q +3.010一層外呼指示燈(上)Q +3.111六層內(nèi)呼指示燈Q +3.212五層內(nèi)呼指示燈Q +3.313四層內(nèi)呼指示燈Q +3.414三層內(nèi)呼指示燈Q +3.515二層內(nèi)呼指示燈Q +3.616一層內(nèi)呼指示燈Q +3.717七段數(shù)碼顯示aQ +4.018七段數(shù)碼顯示bQ +4.119七段數(shù)碼顯示cQ +4.220七段數(shù)碼顯示dQ +4.321七段數(shù)碼顯示eQ +4.422七段數(shù)碼顯示fQ +4.523七段數(shù)碼顯示gQ +4.624上行指示Q +4.725下行指示Q +5.026電機(jī)啟動(dòng)信號(hào)Q +5.1

21、27故障指示Q +5.228照明Q +5.329風(fēng)扇Q +5.430上行接觸器Q +5.531下行接觸器Q +5.632開門繼電器Q +5.733關(guān)門繼電器Q +6.034高速運(yùn)行Q +6.135低速運(yùn)行Q +6.236一級(jí)制動(dòng)接觸器Q +6.337二級(jí)制動(dòng)接觸器Q +6.46 系統(tǒng)軟件設(shè)計(jì)及仿真6.1 初始化電梯在每次斷電重新上電后都需進(jìn)行初始化運(yùn)行,以便于電梯在下一步平層信號(hào)的判斷,具體程序如圖6-1所示:圖6-1 電梯初始化6.2 內(nèi)外呼信號(hào)的記憶與消除按下電梯的外呼按鈕后,電梯指示燈亮,直至電梯停在呼叫的當(dāng)層,并開門時(shí)呼叫指示燈熄滅,具備了保持記憶的功能,部分程序如圖6-2所示;內(nèi)呼指

22、示燈因?yàn)殡娞菔莾?nèi)呼優(yōu)先的邏輯進(jìn)行上下行,所以當(dāng)電梯平層后,指示燈即消失,外呼指示燈則要根據(jù)電梯的上下行與外呼的上下指示燈及電梯的運(yùn)行方向來(lái)判斷是否消除,內(nèi)呼指示燈程序如圖6-3所示:圖6-2 外呼信號(hào)的記憶與消除圖6-3 內(nèi)呼指示燈6.3 平層信號(hào)本電梯設(shè)計(jì)時(shí),為了節(jié)約成本只在轎廂用了兩個(gè)平層傳感器,井道上設(shè)置鋼板來(lái)返回平層信號(hào),所以電梯每次斷電后會(huì)進(jìn)行初始化來(lái)進(jìn)行層數(shù)重置,利用加減計(jì)數(shù)器來(lái)判斷電梯所處位置,輸出平層信號(hào),如圖6-4所示:圖6-4 平層信號(hào)6.4 電梯上下行信號(hào)設(shè)計(jì)中將電梯處于各層位置時(shí)的呼梯信號(hào)進(jìn)行集中,然后根據(jù)內(nèi)呼優(yōu)先,順向截梯,方向信號(hào)記憶,來(lái)判斷上下行,部分程序如圖6-

23、5、6-6、6-7、6-8所示:圖6-5 電梯上行信號(hào)圖6-6 電梯上行接觸器圖6-7 電梯下行信號(hào)圖6-8 電梯下行接觸器6.5 自動(dòng)及手動(dòng)開關(guān)門信號(hào)電梯停車后,將延時(shí)2S自動(dòng)開門,根據(jù)停車信號(hào)、平層信號(hào)及上下行接觸器斷電后開始計(jì)時(shí),2S后定時(shí)器接通,開門繼電器得電,同時(shí)具有手動(dòng)開門功能,當(dāng)電梯處于平層狀態(tài)時(shí),按動(dòng)當(dāng)前樓層的外呼按鈕,電梯的上下行接觸器沒有接通的情況下,電梯會(huì)開門,為了保證安全,開門過(guò)程中還具備了開門時(shí)間過(guò)長(zhǎng)未接收到開門到位信號(hào)自動(dòng)關(guān)門的功能,程序如圖6-9所示;在關(guān)門信號(hào)中,當(dāng)開門到位后,延時(shí)4S進(jìn)行自動(dòng)關(guān)門,為了保證安全,接收到紅外光幕信號(hào)時(shí),電梯由關(guān)門轉(zhuǎn)為開門,程序如下

24、圖所示:圖6-9 開門信號(hào)圖6-10 關(guān)門信號(hào)6.6 加減速信號(hào)電梯是為了人們的方便與快捷而研制出來(lái)的,同時(shí)更應(yīng)該具備著舒適的功能,所以電梯需要有加減速功能,本文中,當(dāng)電梯上行時(shí)上平層接觸器接通代表著平層信號(hào)接通,所以我利用上下平層傳感器接通的間隔時(shí)間進(jìn)行減速控制,當(dāng)電梯上行時(shí)遇到要停車樓層的下平層信號(hào)開始停車制動(dòng),直至電梯運(yùn)行至上平層接觸器接通,開始延時(shí)三級(jí)制動(dòng),同時(shí)啟動(dòng)時(shí)先低速運(yùn)行,延時(shí)1S后轉(zhuǎn)為高速運(yùn)行,進(jìn)行加速,程序如下:圖6-11 電梯減速信號(hào) 圖6-12 電梯三級(jí)制動(dòng)抱閘信號(hào)圖6-13 電梯啟動(dòng)時(shí)以低速運(yùn)行信號(hào)6.7 程序仿真(1) 初始化當(dāng)PLC上電時(shí),初始化下行信號(hào)M7.0接通,下行接觸器Q5.6接通,電梯開始下行直至下端第二限位I5.3接通,電梯停止下行完成初始化。圖6-14 初始化下行信號(hào)圖6-15 下行至下端第二限位(2)內(nèi)外呼指示燈當(dāng)電梯初始化完成后,按下非當(dāng)前樓層的內(nèi)外呼按鈕,對(duì)應(yīng)的指示燈點(diǎn)亮并記憶,直至電梯運(yùn)行至目的層并開門消除。按下二層外呼上行按鈕I2.0和二層內(nèi)呼按鈕I2.6時(shí),二層外呼上行指示燈I3.0和二層內(nèi)呼指示燈I3.6亮,當(dāng)電梯運(yùn)行至二層開門時(shí)(手動(dòng)給兩次上下平層信號(hào)代表上行至二層),兩燈消除。圖6-16 內(nèi)外呼指示燈接通圖6-1

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論