USB數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)完整版_第1頁
USB數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)完整版_第2頁
USB數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)完整版_第3頁
USB數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)完整版_第4頁
USB數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)完整版_第5頁
已閱讀5頁,還剩48頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、usBa據(jù)采集系統(tǒng)設(shè)計(jì)USB通用串行總線是計(jì)算機(jī)外設(shè)接口的發(fā)展趨勢(shì),它的最大特點(diǎn)就是傳輸速度快、即插即用、易擴(kuò)展,使用非常方便,被越來越廣泛的應(yīng)用于工業(yè)控制和數(shù)字設(shè)備中。其連接方式非常靈活,既可使用串行連接,也可使用集線器(HUB把多個(gè)設(shè)備連接在一起,與同PC機(jī)的USB®口相連。此外,它還可以從系統(tǒng)中直接汲取電源,無需單獨(dú)的供電系統(tǒng)。本論文以泰達(dá)電子公司生產(chǎn)的 USB20CI塊設(shè)計(jì)了一個(gè)基于US嗽據(jù) 接口,數(shù)據(jù)傳輸和存儲(chǔ)的系統(tǒng),同時(shí)采用 VB語言進(jìn)行了部程序的設(shè)計(jì)。關(guān)鍵字: USB 接口技術(shù)AT89C51 數(shù)據(jù)采集和存儲(chǔ).專業(yè) .專注 .AbstractThe USB univers

2、al serial bus usb is outside the computer supposes theconnection the development tendency, its most major characteristic isthe transmission speed quick, namely inserts namely with, is easy toexpand, the use is extremely convenient, by more and more widespreadapplication in industry control and digit

3、al equipment. Its connectionway extremely nimble, also may use the serial connection, also mayusethe concentrator (HUB) many equipment to connect in, with is togetherconnected with the PC machine USB connection. In addition, it also maydirectly derive the power source from the system, does not need

4、theindependent power supply system. West of the present paper peacefully and undisturbed reached theUSB20Cmodule which the electronic company produced to design based onthe USB data connection, the data transmission and the memory system,simultaneously used the VB language to carry on the internal p

5、roceduredesign.Key Words: USB Connection technology AT89C51Dataacquisition and memory.專業(yè) .專注 .第一章前言及總體設(shè)計(jì)方案的選擇1.1 前言隨著計(jì)算機(jī)技術(shù)的發(fā)展和計(jì)算機(jī)應(yīng)用的普及, 計(jì)算機(jī)的通信問題成了其應(yīng)用的主要問題之一。而現(xiàn)在廣泛使用的US眼口已經(jīng)越來越多的應(yīng)用于工業(yè)生產(chǎn)、 數(shù)字設(shè)備 等領(lǐng)域。USB通用串行總線將是計(jì)算機(jī)外設(shè)接口的發(fā)展趨勢(shì),將逐漸取代 PC機(jī)上的 RS232B議 口。USB*有速度快,即插即用,易擴(kuò)展等優(yōu)點(diǎn),被越來越廣泛的使用。但是仍然有很多的設(shè)備上面沒有USB8口,而接收的數(shù)據(jù)又以U

6、SB的方式出現(xiàn),那么在數(shù)據(jù)的接 收端則要求將USBJ號(hào)轉(zhuǎn)換成傳統(tǒng)的RS232信號(hào)以便接收;另一方面也會(huì)出現(xiàn)一端以 RS232方式通信,而另一端為US破口。他們者B同樣的涉及到 USBf RS232的相互轉(zhuǎn) 換問題。 本課題所研究的容就是就是要設(shè)計(jì)這樣一個(gè)轉(zhuǎn)換系統(tǒng), 使其能夠?qū)崿F(xiàn)他們之 間的相互轉(zhuǎn)換。要實(shí)現(xiàn)這種轉(zhuǎn)換,第一種方案是采用普通單片機(jī)加專用 US嵌口芯片;采用帶USB 接口的單片機(jī),即專用US13控制器芯片。目前國(guó)外有很多半導(dǎo)體廠商都設(shè)計(jì)生產(chǎn)出 自己的 USB8口芯片,例如 USBN9602 (National Semiconductor 公司)、PDIUSBD2 (Philips 公司

7、)和USS820/825(Lucent公司);Cygnal公司生產(chǎn)的CP201使用也相當(dāng) 方便。在國(guó)方面:凌陽公司生產(chǎn)的SPCP825密片就帶有UARTf US璐式轉(zhuǎn)換的功能; 沁恒電子公司生產(chǎn)的CH341 CH372 CH37駢芯片者B是很好的USB8口芯片。這種方 案的優(yōu)點(diǎn)是: 開發(fā)人員可以利用現(xiàn)有單片機(jī)開發(fā)系統(tǒng)開發(fā)外設(shè)應(yīng)用程序,缺點(diǎn)是硬件設(shè)計(jì)比較復(fù)雜,調(diào)試麻煩。第二種方案是采用泰達(dá)電子公司生產(chǎn)的USB20C真塊為器件,USB20O于電子設(shè)計(jì)的USB2.0C設(shè)備通用接口模塊,它隱藏了通過USB總線進(jìn)行數(shù)據(jù)傳輸所需要的煩瑣 技術(shù)細(xì)節(jié) . 應(yīng)用程序通過調(diào)用本模塊提供的函數(shù), 可以把相應(yīng)的功能轉(zhuǎn)

8、變成模塊硬件接口上的一系列脈沖和電平, 發(fā)送到外圍邏輯, 進(jìn)行指定的數(shù)據(jù)傳輸, 從而極大的簡(jiǎn)化USB®備的設(shè)計(jì)工作.USB®備的應(yīng)用目前在國(guó)外處于高速發(fā)展階段,在國(guó)也已廣泛運(yùn)用,在USBt據(jù)采集,USE業(yè)控制等領(lǐng)域已經(jīng)取得了一定的成果, 在現(xiàn)實(shí)中等到成功的運(yùn)用。USB2.0 協(xié)議,數(shù)據(jù)傳輸速度高達(dá)480MBPS如此高的傳輸速率能用于1.0的傳輸速率所無法 滿足的地方。 如高時(shí)實(shí)性的工業(yè)設(shè)備控制, 動(dòng)態(tài)圖象實(shí)時(shí)傳輸?shù)取?隨著時(shí)代的進(jìn)步和 技術(shù)的發(fā)展,USB必將在更廣泛的領(lǐng)域得到更深層次得應(yīng)用。VISUAL BASIC系列軟件是美國(guó)MICROSOFT司推出得,是很好得程序設(shè)計(jì)系

9、統(tǒng)。我們將借助它方便,快捷以及功能強(qiáng)大等特點(diǎn)來完成這次畢業(yè)設(shè)計(jì)。1.2 設(shè)計(jì)方案的選擇1.2.1 硬件:USB20C可以作為用戶系統(tǒng)的嵌入式模塊使用,用戶無需深入了解USB勺協(xié)議及底層控制操作,就像操作PC總線一樣,通過USB8口實(shí)現(xiàn)對(duì)用戶系統(tǒng)的控制。對(duì)于筆記本電腦來說,使用USB# 口的意義更加重大,通用的US瞰口不僅使筆記本電腦對(duì)外的連接變得方便, 更可以使筆記本電腦生產(chǎn)廠商不再需要為不同配件在主板上安裝不同的接口, 這使主板的線路, 組件的數(shù)量以及復(fù)雜程度都有不用程度的消減, 從而使系統(tǒng)運(yùn)行中的散熱問題得到很好的改善。 也將促進(jìn)更高主頻的處理器可以迅速應(yīng)用在移動(dòng)計(jì)算機(jī)中,使筆記本電腦于P

10、C的差距進(jìn)一步縮小。USB20C空制模塊發(fā)揮了 USB2.0高速數(shù)據(jù)傳輸?shù)奶攸c(diǎn),尤其適合于高速數(shù)據(jù)采集 及圖象數(shù)據(jù)傳輸設(shè)備,用于醫(yī)療,地震,振動(dòng),監(jiān)控,虛擬儀器,科研實(shí)驗(yàn)室,工業(yè) 生產(chǎn)現(xiàn)場(chǎng)領(lǐng)域的數(shù)據(jù)采集設(shè)備, 特別是為便攜式筆記本電腦和日益流行的餓掌上電腦 數(shù)據(jù)采集提供了極為廣闊的發(fā)展空間。.專業(yè) .專注 .本論文的硬件主要有三部分組成:泰達(dá)電子公司生產(chǎn)的USB20C真塊,單片機(jī)AT89C51及模數(shù)轉(zhuǎn)換ADC0809外部模擬信號(hào)從 ADC0809勺8個(gè)輸入口進(jìn)入,經(jīng)模數(shù) 轉(zhuǎn)換后變成數(shù)字信號(hào)輸出到單片機(jī) AT89C51進(jìn)行信息處理,單片機(jī)連接到 USB20C1 塊上,數(shù)據(jù)傳輸?shù)侥K上進(jìn)一步處理,

11、最后連接到個(gè)人計(jì)算機(jī)中。本設(shè)計(jì)的硬件連接 框圖如下所示:個(gè)人計(jì)算機(jī)USB20C模塊AT89C51ADC08091.2.2 .軟件設(shè)計(jì):US眼口數(shù)據(jù)采集系統(tǒng)軟件主要由USB芯片軟彳程序,USB系統(tǒng)驅(qū)動(dòng)程序和計(jì)算機(jī) 應(yīng)用程序三大部分組成。本設(shè)計(jì)采用的USB20C8 口 c, USB芯片軟件程序由USB20C自帶,不需另外編寫USB系統(tǒng)驅(qū)動(dòng)程序采用中斷方式完成軟件的編寫;同時(shí),為了保證程序的模塊化及良好的可移植性,在設(shè)計(jì)中采用分層結(jié)構(gòu)進(jìn)行軟件的編寫。本論文的程序主要為上位機(jī)程序,考慮到大一時(shí)學(xué)過VB程序設(shè)計(jì),所以在本文中的上位機(jī)程序均才用 VB來編。.專業(yè) .專注 .第二章 硬件電路的設(shè)計(jì)本設(shè)計(jì)要涉

12、及大量的電路基礎(chǔ)知識(shí),為更詳細(xì)的介紹本設(shè)計(jì)的思想,接下來將介紹有關(guān)電路方面的知識(shí),包括:MCS-51系列單片機(jī)的介紹,51單片機(jī)的通信原理, USB20C勺使用說明,模數(shù)轉(zhuǎn)換器 A/D0809的介紹,特別是對(duì)USB20C本章對(duì)其進(jìn)行 了重點(diǎn)介紹。 在各小節(jié)進(jìn)行子電路的設(shè)計(jì), 在第三節(jié)將子電路綜合起來, 進(jìn)行總電路 的連接和設(shè)計(jì)。2.1 模數(shù)轉(zhuǎn)換電路模擬信號(hào)轉(zhuǎn)換成數(shù)字信號(hào)示進(jìn)行本設(shè)計(jì)的第一步,所以即顯得格外的重要。而模數(shù)轉(zhuǎn)換涉及到模數(shù)轉(zhuǎn)換器選擇的問題, 也涉及到單片機(jī)的接口問題, 所以在進(jìn)行電路設(shè)計(jì)前對(duì)51單片機(jī)及A/D轉(zhuǎn)換器進(jìn)行適當(dāng)?shù)慕榻B示很有必要的。2.1.1 . 51系列單片機(jī)簡(jiǎn)介2.1.

13、1.1、 8051 簡(jiǎn)介MCS-51是由美國(guó)INTEL公司生產(chǎn)的一系列單片機(jī)的總稱,包括8031,8051,8751,87C51、89C51、8032, 8052等。下圖是本課題中87C51引腳圖和邏輯符號(hào)圖:KAJVy相MSI»I 1#1AnrfHplplmplplplplplaMHRJmMwm RKKPSMKWH 一EJ值RSTFVhj耳TALI uXT Al而加PSENALEXraOGJ.專業(yè).專注.圖3.1引腳圖和邏輯符號(hào)2.1.1.2、 MCS-51單片機(jī)引腳功能1、電源:?jiǎn)纹瑱C(jī)使用的是5V電源,其中正極接VCCSIW,負(fù)極接VSSSIW2、振蕩電路:?jiǎn)纹瑱C(jī)是一種時(shí)序電路,

14、必須提供脈沖信號(hào)才能正常工作,在單片機(jī)部已集成了振蕩器。當(dāng)使用外接晶體振蕩器時(shí),接( 18) 、 ( 19)腳。3、ALE/PROG30):地址鎖存控制信號(hào),高電平有效。在系統(tǒng)擴(kuò)展時(shí),ALE用于控制把P0 口輸出的低8位地址鎖存起來,以實(shí)現(xiàn)低位地址和數(shù)據(jù)的隔離。4、復(fù)位電路,RST/VPD (9) :復(fù)位信號(hào)輸入端。 8051 接通電源后,在時(shí)鐘電路作用下,該腳上出現(xiàn)兩個(gè)機(jī)器周期( 24 個(gè)振蕩周期)以上的高電平,使部復(fù)位。第二功能是VPD,即備用電源輸入端。當(dāng)主電源 VCC發(fā)生故障,降低到低電平規(guī)定值時(shí),VPD將為RAMS供備用電源,以保證存儲(chǔ)在 RAW的信號(hào)不丟失。5、EA/VPP部和外部

15、程序存儲(chǔ)器選擇線。EA=0時(shí)訪問外部ROMD000hH FFFFH EA=1時(shí),地址0000H-0FFFHB問訪問部ROM地址1000HH FFFFHB問訪問外部ROM6、PSEN(29):片外程序存儲(chǔ)器選通信號(hào),低電平有效7、輸入/輸出口引腳PR P1、P2和P3: P0 口(3932):該端口為漏極開路的8位 準(zhǔn)雙向口,負(fù)載能力為8個(gè)高LSTTL負(fù)載,它為8位地址線和8位數(shù)據(jù)線的復(fù)用端口。P1 口(18):它是一個(gè)部帶上拉電阻的 8位準(zhǔn)雙向I/O 口,P1 口的驅(qū)動(dòng)能力為4個(gè)LSTTL負(fù)載。P2 口(2128):它為一個(gè)部帶上拉電阻的 8位準(zhǔn)雙向I/O 口,P2口的驅(qū)動(dòng)能力也為 4 個(gè) L

16、STTL 負(fù)載。在訪問外部程序存儲(chǔ)器時(shí),它作存儲(chǔ)器的高8位地址線。P3 口(1017): P3 口同樣是部帶上拉電阻的 8位準(zhǔn)雙向I/O 口,P3 口 除了作為一般的 I/O 口使用之外,其還具有特殊功能。2.1.1.3、 、 8051 單片機(jī)的結(jié)構(gòu)特點(diǎn)8051單片機(jī)是MCS-51系列單片機(jī)的一個(gè)產(chǎn)品。MCS-51系列單片機(jī)是Intel公司 推出的通用型單片機(jī)。8051單片機(jī)是HMOS:藝的,它的片程序存儲(chǔ)器 RO加掩膜型 的,在制造芯片時(shí)已將應(yīng)用程序固化進(jìn)去,使它具有了某種專用功能。其特點(diǎn)如下:1 .數(shù)據(jù)存儲(chǔ)器(RAM:片為128個(gè)字節(jié)(單元),片外最多可外擴(kuò)至64K字節(jié)2 .程序存儲(chǔ)器(R

17、OM)片為4K字節(jié),片外最多可外擴(kuò)至64K字節(jié)3中斷系統(tǒng):有5 個(gè)中斷源, 2 級(jí)中斷優(yōu)先權(quán)。4定時(shí)器/ 計(jì)數(shù)器: 2 個(gè) 16 位的定時(shí)器/ 計(jì)數(shù)器,具有四種工作方式。5串行口: 1 個(gè)全雙工的串行口,具有四種工作方式。6 .并行口: 4 個(gè) 8 位并行 I/O 口,即 P0 口、P1 口、P2 口、P3口。7特殊功能寄存器(SFR) :共有21 個(gè),用于對(duì)片各功能模塊進(jìn)行管理、控制、監(jiān)視。實(shí)際上是一些控制寄存器和狀態(tài)寄存器,是一個(gè)特殊功能的RAW: <8.位處理器(CPU:為8位的CPU且含一個(gè)1位CPU位處理器)不僅可處理字 節(jié)數(shù)據(jù),還可進(jìn)行位變量的處理。9片振蕩器及時(shí)鐘電路, 具

18、有布爾代數(shù)的運(yùn)算能力。2.1.1.4 、單片機(jī)的時(shí)鐘電路與復(fù)位電路(一)時(shí)鐘系統(tǒng)的設(shè)計(jì)80C51系列單片機(jī)片時(shí)鐘振蕩器與外部諧振電路如圖3.2.3所示,其片振蕩器與外部諧振電路構(gòu)成了一個(gè)并聯(lián)諧振的時(shí)鐘振蕩電路。 外部諧振電路并行連接石英晶體或瓷諧振器QCffi負(fù)載電容C1、C2、QCffi常都按時(shí)鐘頻率選擇相應(yīng)的石英諧振器。80C51系列單片機(jī)可使用的外部獨(dú)立時(shí)鐘振蕩器產(chǎn)生時(shí)鐘信號(hào)。 使用外部時(shí)鐘信號(hào)時(shí), 輸入連接如圖 3.2.2 , 3.2.1 是本課題中使用的時(shí)鐘電路:.專業(yè) .專注 .31C2t_12 30pF J_Y1口T 1830pF2.000虱HZ£13. 2. 1XIR

19、ESETEA/VPRF u 至的那學(xué)發(fā)生器圖3, 2. 2ci圖3. 2,3.專業(yè).專注.(二)復(fù)位電路(1)典型的上電復(fù)位電路如圖3.3.1 (本課題中使用到)(2)外部復(fù)位電路如圖3.3.2 :(3)上電及外部復(fù)位電路如圖3.3.3 (本課題中使用至IJ)(4)上電外部復(fù)位電路如圖3.3.4 :Ml19EA/VP+5Vvss1圖3. 3. 1 *卜5VEESEI74LS0+WPH圖3. 3. 3Pl。 vi,i PL2EE?ET DTTrtDTT1TOT11417C5RIHCRIPR28051RST/VPD圖3.3, 2RST805187518031圖3. 3, 4XTAL2Z«

20、C2fl2.1.1.5、系列單片機(jī)的中斷系統(tǒng)中斷是指中央處理器 CPU正在處理某事情的時(shí)候,外部又發(fā)生了某一件事需要 CPU®速處理,于是CPU®時(shí)中斷當(dāng)前的工作,轉(zhuǎn)入處理所發(fā)生的事件,中斷處理完 后在返回到原來的地方繼續(xù)處理原來的事件。8051中一共有5個(gè)中斷源:兩個(gè)外部中斷INT0和INT1,兩個(gè)計(jì)數(shù)/定時(shí)器中斷T1和T2, 一個(gè)用行口中斷。本課題中用到 了串行中斷,INT0/1 ,其電氣連接見電氣原理圖,在應(yīng)用程序中也有體現(xiàn)。(一)MCS-51中斷系統(tǒng)的控制寄存器定時(shí)器/計(jì)數(shù)器控制寄存器TCON(地址是88H,可以按位尋址)D7D6D5D4D3D2D1D0TF1TF0

21、IE1IT1IE0IT0 IE1/IE0:外部邊沿觸發(fā)中斷請(qǐng)求標(biāo)志,其功能和操作類似于TF0o IT1/IT0:外部中斷類型控制位,通過軟件設(shè)置或清除,用于控制外中斷的觸發(fā) 信號(hào)類型。IT1=1是邊沿觸發(fā),IT=0是電平觸發(fā)。 SCON用行口控制寄存器,地址為98H,低二位是用行口的發(fā)送/接收中斷標(biāo)志: TI:MCS-51用行口的發(fā)送中斷標(biāo)志,在用行口以方式 0發(fā)送時(shí),發(fā)送完8位數(shù) 據(jù),由硬件置位。但是CPU響應(yīng)中斷請(qǐng)求后,必須有“CLRTI”或“ANLSCON#0FDH 等指令來清零TI。 RI:用行口接收中斷標(biāo)志,若用行口接收器允許接收,并以方式0工作,每當(dāng)接收 到8位數(shù)據(jù)時(shí),RI被置1,

22、若以方式1、2、3方式工作,當(dāng)接收到半個(gè)停止位時(shí),TI 被置1表示用口接收器正向CPU請(qǐng)中斷。同樣RI標(biāo)志由用戶的軟件清“ 0”中斷允許寄存器IE (地址為A8H可以按位尋址)D7D6D5D4D3D2D1D0EAESET1EX1ET0EX0 EA:中斷總控制位,EA=1, CPUFF放中斷。EA=Q CPUt止所有中斷 ES:用行口中斷控制位,ES=1允許用行口中斷,ES=0屏蔽用行口中斷。ET1/0:定時(shí)/計(jì)數(shù)器T1中斷控制位。ET1為1允許T1中斷,為0禁止T1中斷。-EX1/0:外中斷1中斷控制位,EX1為1允許外中斷1中斷,為0禁止中斷中斷優(yōu)先級(jí)寄存器IP8051單片機(jī)有高/低優(yōu)先級(jí)兩

23、個(gè)中斷優(yōu)先級(jí),每個(gè)中斷源都可以編程為高優(yōu)先級(jí) 和低優(yōu)先級(jí)。兩級(jí)中斷通過使用IP寄存器設(shè)置,地址為B8H可以按位尋址:D7D6D5D4D3D2D1D0PSPT1PX1PT0PX0其中各位為1時(shí),被聲明為高優(yōu)先級(jí)中斷,為 0時(shí)聲明為低優(yōu)先級(jí)中斷。,順序如下:8051復(fù)位時(shí),IP被請(qǐng)“0” ,5個(gè)中斷源都在同一優(yōu)先級(jí),若幾個(gè)中斷源同時(shí) 產(chǎn)生中斷請(qǐng)求,則CPLR照片硬件優(yōu)先級(jí)鏈路的順序響應(yīng)中斷中斷源外部中斷0 (IE0)定時(shí)器/計(jì)數(shù)器0 (TF0)外部中斷1 (IE1)定時(shí)器 / 計(jì)數(shù)器 1( TF1)RI/TI )低(二)中斷響應(yīng)過程中斷響應(yīng)的條件: MCS-51 工作時(shí),在每個(gè)機(jī)器周期中都會(huì)去查詢

24、一下各個(gè)中斷標(biāo)記,在下列三種情況之一時(shí),CPU等封鎖對(duì)中斷的響應(yīng):(1) CPUE在處理一個(gè)同級(jí)或更高級(jí)別的中斷請(qǐng)求( 2)現(xiàn)行的機(jī)器周期不是當(dāng)前正執(zhí)行指令的最后一個(gè)周期。要等整條指令都執(zhí)行完 了,才能響應(yīng)中斷。(3)當(dāng)前正執(zhí)行的指令是返回批令(RETI)或訪問IP、IE寄存器的指令,則 CPU 至少再執(zhí)行一條指令才應(yīng)中斷。CPU響應(yīng)中斷時(shí),把當(dāng)前下一條指令的地址送入堆棧,根據(jù)中斷標(biāo)記,將中斷入 口地址送入PC程序指針,CPIM指令根據(jù)PC中的值,程序就會(huì)轉(zhuǎn)到中斷入口處繼續(xù) 執(zhí)行。每個(gè)中斷向量地址間隔了 8個(gè)單元,如0003000B,在中斷處安排一個(gè)LJMP 指令,這樣就可以把中斷程序跳轉(zhuǎn)到任

25、何地方,例如:LJMP START ;跳轉(zhuǎn)主程序ORG 0003HLJMP INT0 ;轉(zhuǎn)外中斷0ORG 000BHRETI中斷程序完成后,執(zhí)行一條 RETI指令,執(zhí)行這條指令后,CPU將會(huì)把堆棧中保 存著的地址取出,送回PC,那么主程序就會(huì)從主程序的中斷處繼續(xù)往下執(zhí)行了。中斷優(yōu)先級(jí)處理與中斷嵌套: 優(yōu)先級(jí)的問題發(fā)生在幾個(gè)中斷同時(shí)產(chǎn)生的情況, 或者是已發(fā)生在一個(gè)中斷, 又有一個(gè)中斷產(chǎn)生時(shí)的情況。 如果有低優(yōu)先級(jí)的中斷正在執(zhí)行,那么高優(yōu)先級(jí)的中斷出現(xiàn),CPUU會(huì)響應(yīng)這個(gè)高優(yōu)先級(jí)中斷,即高優(yōu)先級(jí)中斷可以打斷低優(yōu)先級(jí)中斷,反之則不行。下圖面是一個(gè)中斷嵌套流程:.專業(yè) .專注 .圖3. 4中斷嵌套圖2

26、.1.1.6、控制寄存器8051單片機(jī)通過引腳RXD(P3.0,串行數(shù)據(jù)接收端)和引腳 TXD(P3.1,串行數(shù) 據(jù)發(fā)送端)與外界通訊。SBUF用行口緩沖寄存器,包括發(fā)送寄存器和接收寄存器。 它們有相同名字和地址空間,但不會(huì)出現(xiàn)沖突 。串行通信控制寄存器SCON表二:用行口的工作方式及接收/發(fā)送控制。字節(jié)地址為98H,其各位定義如下數(shù)據(jù)位D7D6D5D4D3D2D1D0位名稱SM0SM1SM2RENTB8RB8TIRI位地址9FH9EH8DH9CH9BH9AH99H98H表三:(1).SM0、SM1用行口工作方式選擇位,其定義如下SM0 SM1工#功能描述波特率00方式08位移位寄存器Fosc

27、/1201方式110位 UART可父10方式211 位 UARTFosc/64 或 fosc/3211方式311 位 UART可父其中fosc為晶振頻率(2) .SM2 :多機(jī)通信控制位多機(jī)通信是工作于方式2和方式3。本課題不涉及,在此不作介紹(3) .REN:允許接收位REN用于控制數(shù)據(jù)接收的允許和禁止,REN=1時(shí)允許接收,REN=0寸禁止接收。(4) .TB8 :發(fā)送接收數(shù)據(jù)位8在方式2和方式3中,TB8是第9位數(shù)據(jù)位。在多機(jī)通信中同樣亦要傳輸這 一位,并且它代表傳輸?shù)牡刂愤€是數(shù)據(jù),TB8=0為數(shù)據(jù),TB8=1時(shí)為地址。(5) .RB8 :接收數(shù)據(jù)位8在方式2和方式3中,RB的放接收到的

28、第9位數(shù)據(jù),用以識(shí)別接收的數(shù)據(jù) 特征。(6) .TI/RI :發(fā)送/接收中斷標(biāo)志位,在前面中斷一章已作介紹。電源管理寄存器PCONi要是設(shè)置SMO眈,當(dāng)SMOD=1,串行口波特率加倍。 系統(tǒng)復(fù)位默認(rèn)為SMOD=>0中斷允許寄存器IE:其中ES=1允許串行中斷,ES=Q禁止串行中斷在本課題中既涉及到的單片機(jī)對(duì)其性能及存無特殊要求,可選最常用的一種, 例如AT89C5WL片機(jī)。2.1.2.模數(shù)轉(zhuǎn)換芯片的介紹及選擇在測(cè)控系統(tǒng)中。除了數(shù)字量之外,還存在大量的模擬量,如:溫度,壓力, 流量,速度,電壓,電流等。而計(jì)算機(jī)只能處理數(shù)字量,要實(shí)現(xiàn)對(duì)模擬量的測(cè)量和 控制,首先必須將模擬量轉(zhuǎn)換成數(shù)字量(A/

29、D轉(zhuǎn)換)。相反。計(jì)算機(jī)輸出時(shí)有時(shí)也需 要將數(shù)字量轉(zhuǎn)換成模擬量(D/A轉(zhuǎn)換)。目前,A/D轉(zhuǎn)換和D/A轉(zhuǎn)換電路都已集成化, 它們就有體積小,功能強(qiáng),可靠性高,誤差小,功耗底,與計(jì)算機(jī)接口簡(jiǎn)單等特點(diǎn)。 A/D轉(zhuǎn)換是把模擬量轉(zhuǎn)換成數(shù)字量的過程,A/D轉(zhuǎn)換的方法很多,如:頻率法.雙斜積 分法,逐次逼近法等.其性能指標(biāo)也很多,如:分辨率,轉(zhuǎn)換時(shí)間,轉(zhuǎn)換精度,電源,輸 出特性等.ADC08091一種典型的A/D轉(zhuǎn)換器,它是采用逐次逼近法的8位8通道的A/D 轉(zhuǎn)換器,+5V單電源供電,轉(zhuǎn)換時(shí)間在100us左右.ADC080的28弓唧,雙列直插芯片,具引腳如圖8-51所示.各引腳功能如下:1IN3IN2282

30、IN4IN1IN5IN0IN6ADDA,IN7ADDB273264255246237EOCALED3D7OED6CLOCKD5VccD4VREF(+)do,GNDVREF(-)D1D22282192010191118121713161415ADC0809IN7IN0 :8位模擬量輸入端;D7D0 :8位數(shù)字量輸出端口 ;.專業(yè).專注.START :A/D轉(zhuǎn)換啟動(dòng)信號(hào)輸入端;ALE : 地址鎖存應(yīng)許信號(hào). 高電平有效;EOC :轉(zhuǎn)換結(jié)束信號(hào), 高電平有效;OE : 輸出應(yīng)許控制信號(hào), 高電平有效;CLK : 時(shí)鐘信號(hào)輸入端;A.B.C: 轉(zhuǎn)換通道的地址 ;V REF(+): 參考電源的正端;V

31、REF(-) : 參考電源的負(fù)端;V CC: 電源負(fù)端;GNDM.ADC080的一個(gè)8位A/D轉(zhuǎn)換器,一個(gè)8路模擬開關(guān),8路模擬地址鎖存譯碼器和一個(gè)三態(tài)數(shù)據(jù)輸出鎖存器組成.當(dāng)ALE為高電平時(shí),通道地址輸入到地址鎖存器中,下降沿將地址鎖存,并譯碼. 在START上跳沿時(shí),所有的部寄存器清 0,在下降沿時(shí),開始進(jìn)行A/D轉(zhuǎn)換,此期間 STAR而保持低電平.需要注意的是,在STARTF降7&后10us左右,轉(zhuǎn)換結(jié)果信號(hào)EOC 變?yōu)榈碗娖剑珽OC低電平時(shí),表示正在轉(zhuǎn)換,變?yōu)楦唠娖綍r(shí),表示轉(zhuǎn)換結(jié)束.OE為輸出應(yīng) 許信號(hào),控制三態(tài)輸出鎖存器輸出數(shù)據(jù),OE=1,應(yīng)許轉(zhuǎn)換結(jié)果輸出.因本設(shè)計(jì)對(duì)模數(shù)轉(zhuǎn)換器

32、并無特殊要求,所以一般的模數(shù)轉(zhuǎn)換芯即可實(shí)現(xiàn)將進(jìn)入的模擬信號(hào)轉(zhuǎn)換為數(shù)字信號(hào)。本設(shè)計(jì)采用最常用的轉(zhuǎn)換芯片ADC08092.1.3 .模數(shù)轉(zhuǎn)換電路圖2.1.3.1 .單片機(jī)與ADC0809勺連接是一個(gè)很常見的數(shù)模轉(zhuǎn)換電路,現(xiàn)將在本設(shè)計(jì)中 要用到的此電路略畫如下:.專業(yè).專注.單片機(jī)數(shù)據(jù)采集的子程序:數(shù)據(jù)采集框圖ORG 0000H主程序AJMP MAINORG 0013HAJMP PINT1MAIN:MOV R1,#30H置數(shù)據(jù)首地址及通道計(jì)數(shù)器,通道地址為IN0MOV R7,#08HMOV DPTR,#FEF8HSETB IT1SETB EXISETB EAMOVX DPTR ALOOP:MOV A

33、,R7JNZ LOOP置INT1邊沿觸發(fā)啟動(dòng)IN0 A/D8路采完禁止INT1中斷結(jié)束CLR EXISJMP $PINT1:MOVX A,DPTRMOVX R1,AINC R1INC DPTRDEC R7MOVX DPTR,ARET12.2 USB 模塊接口電路這個(gè)模塊接口電路是本設(shè)計(jì)的重點(diǎn)設(shè)計(jì)電路,依據(jù)US B模塊的說明及單片機(jī)的 相關(guān)知識(shí),即可設(shè)計(jì)出滿意的電路。因此,在論文中對(duì)US B模塊進(jìn)行詳細(xì)的介紹就 顯得很有必要了。2.2.1 USB20C 模塊介紹2.2.1.1 模塊參數(shù)。USB20久于電子設(shè)計(jì)的USB2.0C設(shè)備通用接口模塊,它隱藏了通過USB總線進(jìn)行數(shù)據(jù)傳輸所需要的煩瑣技術(shù)細(xì)節(jié)

34、 . 應(yīng)用程序通過調(diào)用本模塊提供的函數(shù), 可以把相應(yīng)的功能轉(zhuǎn)變成模塊硬件接口上的一系列脈沖和電平, 發(fā)送到外圍邏輯, 進(jìn)行指定的數(shù)據(jù)傳輸,從而極大的簡(jiǎn)化US暇備的設(shè)計(jì)工作本模塊提供兩種數(shù)據(jù)傳輸模式地址IO模式批量數(shù)據(jù)傳輸模式以設(shè)計(jì)一個(gè) AD數(shù)據(jù) 采集器為例可以使用地址 IO 模式執(zhí)行初始化設(shè)置采樣參數(shù)讀取狀態(tài)等功能使用批量數(shù)據(jù)傳輸模式讀取采樣得到的大批量數(shù)據(jù)本模塊是一個(gè)USB2.0設(shè)備同時(shí)也兼容USB1.1標(biāo)準(zhǔn)但是會(huì)降低數(shù)據(jù)傳輸速度標(biāo)準(zhǔn)USBg口高性能USB接口器件符合通用串行總線 USB2.0版規(guī)高速DM酸寫控制讀寫速度大于20Mbyte/Sec系統(tǒng)驅(qū)動(dòng)文件DLL動(dòng)態(tài)連接庫用戶不必編寫任何驅(qū)

35、動(dòng)程序MTT藝低功耗系統(tǒng)超小體積模塊化設(shè)計(jì),無需外接電源簡(jiǎn)化的標(biāo)準(zhǔn)外部總線 : 8 位數(shù)據(jù)總線雙向5 位地址總線單向輸出.專業(yè) .專注 .9根讀寫控制線配備I2C存儲(chǔ)模塊提供一個(gè)48MHz(30MHZ):時(shí)鐘輸出提供5V電源輸出USB20CT以作為用戶系統(tǒng)的嵌入式模塊使用用戶無需深入了解USB勺協(xié)議及底層控制方法就像操作PC總線一樣通過USB8 口實(shí)現(xiàn)對(duì)用戶系統(tǒng)的控制對(duì)于筆記本電腦來說使用 USBg 口的意義更加重大通用的 USB8 口不僅使筆記 本電腦對(duì)外的連接變得方便更可以使筆記本電腦生產(chǎn)廠商不再需要為不同配件在主 板上安置不同的接口這使主板的線路組件的數(shù)量以及復(fù)雜程度都有不同程度的削減

36、從而使系統(tǒng)運(yùn)行中的散熱問題得到了改善也將促進(jìn)更高主頻的處理器可以迅速應(yīng)用 在移動(dòng)計(jì)算機(jī)中使筆記本電腦與桌面 PC的差距進(jìn)一步縮小USB20C空制模塊發(fā)揮了 USB2.0高速數(shù)據(jù)傳輸?shù)奶攸c(diǎn)尤其適合于高速數(shù)據(jù)采集 及圖像數(shù)據(jù)傳輸設(shè)備用于醫(yī)療地震振動(dòng)監(jiān)控虛擬儀器科研實(shí)驗(yàn)室工業(yè)生產(chǎn)現(xiàn)場(chǎng)領(lǐng)域 的數(shù)據(jù)采集設(shè)備特別是為便攜式筆記本電腦和日益流行的掌上電腦數(shù)據(jù)采集提供了 極為廣闊的發(fā)展空間1.GND5Vout.PWRFA4.PRDFA3,PWATFA2.GNDFA1CLKOUTFA0GNDDMACSDMARDPKTEND1DMAWRDMADIRNCDMAOEGNDDMAingIFCLKNC'GNDFI

37、FOEMPTYSCLFIFOFULL'SDAFIFOPROGFD0FD7'FD1FD6FD2FD51FD3FD4GNDGND4023933843753663573483393210311130122913281427152616251724182319222021USB20CUSB20映塊示意圖2.2.1.2 硬 件 描 述本模塊提供了 8位數(shù)據(jù)總線5位地址總線3位地址IO所需的控制信號(hào)9位批量傳 輸所需的控制信號(hào)以及其他的輔助控制信號(hào)輔助控制信號(hào)本模塊提供了 4 根輔助控制信號(hào)DMAINGCLKOUTSCLSDADMAINGE在批量數(shù)據(jù)傳輸指示信。本信號(hào)為數(shù)據(jù)傳輸模式指示信號(hào)

38、由本模塊輸出高電平指示工作于批量數(shù)據(jù)傳輸模式低電平指示工作于地址IO 模式本信號(hào)由函數(shù) USB20C_STARTDMAREAD20C_STARTDMAWRTE高電平由函 數(shù)USB20C_ENDDMA為低后平CLKOUT真塊單片機(jī)時(shí)鐘輸出信號(hào)本信號(hào)輸出模塊單片機(jī)的時(shí)鐘本信號(hào)由函數(shù)USB20C_SETCPUCSiJ可以設(shè)定時(shí)鐘的頻率是否輸出是否翻轉(zhuǎn)SCLSD做卜接I2C器件信號(hào)本信號(hào)用于外擴(kuò) I2C 存儲(chǔ)器使用時(shí)需要把本模塊的這兩個(gè)信號(hào)管腳與外擴(kuò)的I2C 器件的相應(yīng)管腳相連這兩個(gè)信號(hào)已經(jīng)在模塊上拉不需要外部上拉外擴(kuò) I2C 存儲(chǔ)器件的芯片地址只能是23456 之一地址 017 已經(jīng)被本模塊使用外擴(kuò)

39、器件不得使用SCL時(shí)鐘信號(hào)上的工作頻率可以由函數(shù)USB20C_SetI2CFrequency函數(shù)選擇為400KHz/100KHz 2.1 2.1.1 2.1.2 2.1.3Xi an DATA Electronic Co. Ltd. 1 85277568 .dataie. 5 USB20C 使用說明達(dá)泰電子有限責(zé)任公司 2.2數(shù)據(jù)總線本模塊提供8 位寬的數(shù)據(jù)總線兩種數(shù)據(jù)傳輸模式共用此數(shù)據(jù)總線當(dāng)工作于地址IO模式并且PWRS號(hào)有效或者工作于批量傳輸模式DMARDT號(hào)有效并且DMACSDMAOE有效時(shí)數(shù)據(jù)總線處于輸出狀態(tài)否則數(shù)據(jù)總線處于輸入狀態(tài)地址總線本模塊提供5 位寬的地址總線地址總線總是由本模

40、塊輸出以下函數(shù)可以改變地址USB20C_SETADDRESSUSB20C_INPUTUSB20C_OUTPUTUSB20C_MULTINPUTUSB20C_MULTOUTPUTUSB20C_MIXEDIO當(dāng)使用后三個(gè)函數(shù)時(shí)地址會(huì)根據(jù)函數(shù)的參數(shù)而改變函數(shù)調(diào)用結(jié)束后地址為函數(shù)參數(shù)指定的最后一個(gè)地址 2.3Xi an DATA Electronic Co. Ltd. 1 85277568 .dataie. 6 USB20C 使用說明達(dá)泰電子有限責(zé)任公司 2.4地址 IO 所需的控制信號(hào)本模塊提供了 3 個(gè)地址 IO 所需的控制信號(hào)PWRPRDPWAITPWRft址寫本信號(hào)為地址寫的寫脈沖是一個(gè)低電平

41、脈沖本脈沖由函數(shù)USB20C_OUTPUTUSB20C_MULTOUTPUT產(chǎn)生當(dāng)進(jìn)行一次地址寫時(shí)本模塊首先更新地址總線把數(shù)據(jù)總線定義為輸出并輸出數(shù)據(jù)然后使PWR=般著判斷PWAIT犬態(tài)等待PWAIT=1t匕時(shí)可以等待外部單片機(jī)等慢速邏輯執(zhí)行或者超時(shí)超時(shí)時(shí)間由模塊單片機(jī)的工作頻率決定具體時(shí)間待測(cè)最后使PWR=1把數(shù)據(jù)總線定義為輸入完成一次地址寫PRD地址讀本信號(hào)為地址讀的讀脈沖是一個(gè)低電平脈沖本脈沖由函數(shù)USB20C_INPUTUSB20C_MULTINPUT產(chǎn)生當(dāng)進(jìn)行一次地址讀時(shí)本模塊首先更新地址總線把數(shù)據(jù)總線定義為輸入使PRD=0接著判斷PWAIT犬態(tài)等待PWAIT=1者超時(shí)然后從地址總線

42、讀取數(shù)據(jù)并把此數(shù)據(jù)返回 主機(jī)最后使PRD=fg成一次地址讀PWAIT等待外圍邏輯本信號(hào)為一個(gè)輸入信號(hào)一般情況下當(dāng)外部邏輯為單片機(jī)等慢速邏輯時(shí)需要使用本信號(hào)如果外部邏輯為一個(gè)CPLD®可以懸空本信號(hào)管腳當(dāng)外部邏輯為單片機(jī)等慢速邏輯時(shí)先由外部邏輯把本信號(hào)拉低則本模塊在地址IO時(shí)會(huì)在PWRPRD沖有效后會(huì)插入等待周期等待外部邏輯執(zhí)行完指定的讀/寫后外部邏輯把本信號(hào)拉高本模塊檢測(cè)到PWAIT=1后結(jié)束PWRPRDK沖外部邏輯檢測(cè)到PWRPRD效后再次使PWAIT=0t備好下一次讀/寫地址 IO 的時(shí)序圖注圖中 PWAITW號(hào)應(yīng)該反向 2.4.1 2.4.2 2.4.3 2.4.4Xi an

43、DATA Electronic Co. Ltd. 1 85277568 .dataie. 7 USB20C 使用說明 達(dá)泰電子有限責(zé)任公司注圖中PWAIT言號(hào)應(yīng)該反向Xi an DATA Electronic Co. Ltd. 1 85277568 .dataie. 8 USB20C 使用說明達(dá)泰電子有限責(zé)任公司 2.5批量數(shù)據(jù)傳輸所需的控制信號(hào)本模塊 提供 了9個(gè)批量數(shù)據(jù)傳 輸所需要的信號(hào)他們分別是 DMACSDMARDDMAWRDMADIRDMAOEPKTENDFIFOEMPTYFIFOFULLIFCLKDMACS模塊選擇信號(hào)由外部邏輯提供低電平有效當(dāng)整個(gè)設(shè)備需要本模塊與其他外部邏輯需要共

44、享數(shù)據(jù)總線時(shí)使DMACS=1T以使本模塊斷開與外部總線的本模塊將忽略 DMARDDMAWRDMAOEPKTENDDMARD由外部邏輯提供低電平脈沖有效當(dāng)使用USB20C_DMAWRI呵機(jī)向設(shè)備寫數(shù)據(jù)時(shí)數(shù)據(jù)首先從主機(jī)傳送到本模塊的緩沖區(qū)外部邏輯使用DMAR脈沖從本模塊的緩沖區(qū)讀取主機(jī)發(fā)來的數(shù)據(jù)當(dāng)DMAOET效時(shí)讀到的數(shù)據(jù)在DMARD;低電平時(shí)從數(shù)據(jù)總線輸出當(dāng) DMAOE效 時(shí)數(shù)據(jù)總線懸空但是DMARD沖依然有效只不過數(shù)據(jù)不能輸出DMAWR由外部邏輯提供低電平脈沖有效當(dāng)使用USB20C_DMARED從設(shè)備讀數(shù)據(jù)時(shí)外部邏輯首先使用 DMAWR沖把數(shù) 據(jù)寫入本模塊的緩沖區(qū)然后數(shù)據(jù)從本模塊的緩沖區(qū)傳輸?shù)?/p>

45、主機(jī)DMADIR讀/ 寫控制信號(hào)由本模塊輸出高電平批量讀數(shù)據(jù)數(shù)據(jù)從設(shè)備傳向主機(jī)低電平批量寫數(shù)據(jù)數(shù)據(jù)從主機(jī)傳向設(shè)備DMAOE由外部邏輯提供低電平有效當(dāng)DMAOET效時(shí)外部邏輯從本模塊部緩沖區(qū)讀數(shù)據(jù)時(shí)在 DMARD;低電平時(shí)讀到 的數(shù)據(jù)從數(shù)據(jù)總線輸出當(dāng)DMAOE效時(shí)數(shù)據(jù)總線懸空但是DMARD沖依然有效只不過 數(shù)據(jù)不能輸出PKTEND由外部邏輯提供低電平脈沖有效在批量讀數(shù)據(jù)時(shí)外部邏輯使用 DMAW杷數(shù)據(jù)寫入本模塊部緩沖區(qū)每寫滿一個(gè)數(shù) 據(jù)包后如果本模塊連接在USB2.0總線上則每個(gè)數(shù)據(jù)包為512字節(jié)如果連接在USB1.1 總線上則數(shù)據(jù)包為 64 字節(jié)數(shù)據(jù)會(huì)自動(dòng)傳送到主機(jī)如果需要傳輸一個(gè)不滿的數(shù)據(jù)包短包

46、比如需要傳輸31個(gè)字節(jié)則外部邏輯應(yīng)該在寫31個(gè)字節(jié)數(shù)據(jù)后產(chǎn)生一個(gè)PKTEN酥 沖本模塊接收到一個(gè)PKTEN脈沖后會(huì)把接收到短包發(fā)送回主機(jī)FIFOEMPTY本模塊部緩沖區(qū)空標(biāo)志由本模塊輸出低電平有效本信號(hào)在批量寫數(shù)據(jù)時(shí)使用本模塊部提供了 2048字節(jié)的批量寫數(shù)據(jù)緩沖區(qū)在批量寫數(shù)據(jù)時(shí)主機(jī)首先發(fā)送數(shù)2.5.1 2.5.2 2.5.3 2.5.4 2.5.5 2.5.6 2.5.7Xi an DATA Elect ronic Co. Ltd. 1 85277568 .dataie. 9 USB20C 使用說明 達(dá)泰電子有限責(zé)任公司據(jù)到本模塊的部緩沖區(qū)本模塊接收到數(shù)據(jù)后會(huì)使本信號(hào)無效表示部緩沖區(qū)已經(jīng)有數(shù)

47、據(jù)共外部邏輯讀取外部邏輯檢測(cè)到本信號(hào)無效開始從本模塊緩沖區(qū)讀取數(shù)據(jù)數(shù)據(jù)全部讀出后本信號(hào)重新有效此時(shí)外部邏輯應(yīng)停止從本模塊讀取數(shù)據(jù)FIFOFULL本模塊部緩沖區(qū)滿標(biāo)志由本模塊輸出低電平有效本信號(hào)在批量讀數(shù)據(jù)時(shí)使用本模塊部提供了 2048字節(jié)的批量讀數(shù)據(jù)緩沖區(qū)在批量讀數(shù)據(jù)時(shí)如果本模塊的部緩沖區(qū)不滿則模塊使本信號(hào)無效表示可以向本模塊部緩沖區(qū)寫數(shù)據(jù)外部邏輯檢測(cè)到正在批量讀數(shù)據(jù)而且本信號(hào)無效開始向本模塊寫數(shù)據(jù)每寫滿一個(gè)標(biāo)準(zhǔn)數(shù)據(jù)包如果本模塊連接在USB2.0總線上則每個(gè)數(shù)據(jù)包為512字節(jié)如果連接在USB1.1總線上則數(shù)據(jù) 包為64字節(jié)后如果主機(jī)正在使用USB20C_DMARE»D讀取數(shù)據(jù)則數(shù)據(jù)自

48、動(dòng)發(fā)送到主 機(jī)如果主機(jī)一直沒有讀取數(shù)據(jù)則在外部邏輯寫滿2048 個(gè)字節(jié)后模塊部緩沖區(qū)滿本信號(hào)有效此時(shí)外部邏輯應(yīng)停止寫數(shù)據(jù)。2.2.2. U S B模塊與單片機(jī)的接口電路根據(jù)以上USB奠塊的說明,可設(shè)計(jì)出多個(gè)其與單片機(jī)的接口電路, 例如以下兩 種:.專業(yè) .專注 .VSB20C模塊方法單片機(jī)USB20c模塊方法二單片機(jī)考慮到本設(shè)計(jì)的部程序不大,不需要太多的擴(kuò)展,且盡量使設(shè)計(jì)更簡(jiǎn)單,本設(shè) 計(jì)采用第一種連現(xiàn)方法,既采用 5根地址線,8根數(shù)據(jù)線。為了進(jìn)一步提高數(shù)據(jù)采集的效率,本設(shè)計(jì)采用中斷工作方式。首先求定時(shí)器初值: 我們利用定時(shí)器每隔 1 毫秒控制產(chǎn)生寬度為 2 個(gè)機(jī)器周期的負(fù)脈沖,由P1.0送出。

49、時(shí)鐘頻率為12MHz設(shè)定時(shí)器初值為X,則定時(shí)1毫秒時(shí),應(yīng)有:213-X) *10-6=1*10-3?式中機(jī)器周期為1毫秒,可求的 X=7096=11011101 11000B其中高8位DDH 賦給THO底五位賦給TLQ由于系統(tǒng)復(fù)位后,TMO破清,正好處于定時(shí)器方式0狀 態(tài),且GATE=0也可步設(shè)置TMOD程序如下:ORG 0000HAJMP MAINORG 000BHAJMP TOINTORG 100HMAIN: MOV TH,0 #0DDHMOV TL0 , #18HMOV IE , #82HSETB TRO.專業(yè) .專注 .LOOP SJMP LOOPORG 200HTOINT: CLR

50、P1.0SETB P1.0MOV TH0 #0DDHMOV TL0 #18HRETI以上既為單片機(jī)部的中斷程序。卜面為其程序框圖:中斷程序框圖INT1中斷取轉(zhuǎn)換結(jié)果通道地址+1.專業(yè).專注.通道計(jì)數(shù)-1啟動(dòng)一次A/D通道返回2. 3 .總體電路的設(shè)計(jì)將以上各單元電路組合起來,就可得到完整的硬件電路如下:GND GND CLKOUT GNDDB0DB1DB2DB3NCGNDDB4DB5IFCLKGNDSCLDB6DB7AD0SDAGNDAD1AD25VOUT PKTENDNC GND DAMRD DAMWR PKTEND DMAOEAD3 AD4PWR PRDPWAIT FMAING DMADI

51、R FIFOUEMEPTYDMACSFIFOFULLP0.0 CP0.1 VP0.2P0.3P0.4P0.5P0.6P0.7P1.0P1.1P1.2P1.3P1.4P1.5P1.6P1.7P2.0P2.1P2.2P2.3USB20CAT89C5174LS74VEAWR/+5ADC0809P2.6P2.5P3.4RDWRXTAL1XTAL2RDRSTINT1DQCKQ/OE LEALEOEEOKVREF+VREF-gNdD0Q0D1Q1D2Q2D3Q3D4Q4D5Q5D6Q6D7Q7C?Cap 100pFD7AD6BD5CD4CLKD3D2INOD1IN1D0IN2IN3IN4DTARTIN5IN6IN7單片機(jī)部程序:ORG 0000HLJMP MAIN;復(fù)位,轉(zhuǎn)主程序ORG 0013HLJMP PINT1;中斷,轉(zhuǎn)中斷服務(wù)子程序MAIN:MOV R1,#30H;置數(shù)據(jù)區(qū)首地址MOV R7,#08HMOV DPTR,#FEF8H0809通道 0地址SETB IT1;置邊沿觸發(fā)方式SETB EXISETB EA;CPUFF中斷MOVX DPTR A0 通道 A/DDEC R7SJMP $ORG 0200

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論