邏輯運(yùn)算符的操作數(shù)為邏輯值,即true或false,運(yùn)算結(jié)果也是_第1頁
邏輯運(yùn)算符的操作數(shù)為邏輯值,即true或false,運(yùn)算結(jié)果也是_第2頁
邏輯運(yùn)算符的操作數(shù)為邏輯值,即true或false,運(yùn)算結(jié)果也是_第3頁
邏輯運(yùn)算符的操作數(shù)為邏輯值,即true或false,運(yùn)算結(jié)果也是_第4頁
已閱讀5頁,還剩3頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、軟件編程基礎(chǔ)邏輯運(yùn)算符的操作數(shù)為邏輯值,即true或false,運(yùn)算結(jié)果也是一個(gè)邏輯值。 邏輯取反()邏輯取反為一元運(yùn)算符,即它只對(duì)一個(gè)操作數(shù)進(jìn)行運(yùn)算。它的運(yùn)算結(jié)果與操作數(shù)的邏輯值相反。 邏輯與(&)邏輯與對(duì)兩個(gè)邏輯操作數(shù)進(jìn)行“與”運(yùn)算。只有邏輯表達(dá)式中兩部分都為真的時(shí)候,整個(gè)表達(dá)式才為真。邏輯運(yùn)算邏輯運(yùn)算軟件編程基礎(chǔ)邏輯或(|)邏輯或?qū)蓚€(gè)邏輯操作數(shù)進(jìn)行“或”運(yùn)算。只要邏輯表達(dá)式的兩部分任何一個(gè)為真的時(shí)候整個(gè)表達(dá)式就為真。邏輯異或()邏輯異或?qū)蓚€(gè)邏輯操作數(shù)進(jìn)行“異或”運(yùn)算。 只要邏輯表達(dá)式的兩部分同時(shí)為真或?yàn)榧俚臅r(shí)候整個(gè)表達(dá)式為真。 邏輯運(yùn)算邏輯運(yùn)算軟件編程基礎(chǔ)例boolean o

2、peraOne , operaTwo , result;/定義三個(gè)邏輯變量operaOne = true; /變量賦初值operaTwo = false; /變量賦初值result 1= operaOne & operaTwo; /兩個(gè)操作數(shù)執(zhí)行與運(yùn)算,result 1值為falseresult 2= operaOne | operaTwo; /兩個(gè)操作數(shù)執(zhí)行與運(yùn)算,result 2值為true 邏輯運(yùn)算邏輯運(yùn)算軟件編程基礎(chǔ)位運(yùn)算位運(yùn)算 位運(yùn)算的操作數(shù)為數(shù)值,“位”是指“bit”,即對(duì)操作數(shù)的每一位進(jìn)行邏輯操作,其運(yùn)算結(jié)果也為數(shù)值。要理解并掌握位運(yùn)算,必須掌握數(shù)值在內(nèi)存中的二進(jìn)制表示形

3、式,并掌握整數(shù)的十進(jìn)制和二進(jìn)制之間的轉(zhuǎn)換。 軟件編程基礎(chǔ)位運(yùn)算位運(yùn)算 位運(yùn)算包括按位取反(-)、按位與(&)、按位或(|)、按位異或(),這幾個(gè)位運(yùn)算所使用的運(yùn)算符與邏輯運(yùn)算相同,運(yùn)算規(guī)則也相同,但在運(yùn)算時(shí)以二進(jìn)制1代表true、0代表false作為真值。位運(yùn)算與邏輯運(yùn)算的操作數(shù)和運(yùn)算結(jié)果不同,在使用時(shí)要注意區(qū)分:對(duì)于同一個(gè)操作符,如果對(duì)邏輯值進(jìn)行操作就是邏輯運(yùn)算;如果對(duì)數(shù)值進(jìn)行運(yùn)算就是位操作符。還有三個(gè)位移操作符左移()和無符號(hào)右移()。 軟件編程基礎(chǔ)條件運(yùn)算條件運(yùn)算 條件運(yùn)算符是一個(gè)三元運(yùn)算符,包含三個(gè)運(yùn)算數(shù),其格式為:表達(dá)式表達(dá)式1 ? 1 ? 表達(dá)式表達(dá)式2 : 2 : 表達(dá)式表達(dá)式3 3 其中要求表達(dá)式1是一個(gè)邏輯表達(dá)式,其值應(yīng)該是邏輯值。條件運(yùn)算符的運(yùn)算過程為:先求出表達(dá)式1的值,若表達(dá)式1的值為true,則整個(gè)條件表達(dá)式的值為表達(dá)式2的值,若表達(dá)式1的值為假,則整個(gè)條件表達(dá)式的值為表達(dá)式3的值。 軟件編程基礎(chǔ)條件運(yùn)算條件運(yùn)算例a = true ? 3 : 5; /a的值為3b = 8;c = (ab) ? a : b;/c的值為8,即c的值為a和b中較大的一個(gè)。軟件編程基礎(chǔ)賦值運(yùn)算賦值運(yùn)算 賦值運(yùn)算符及其擴(kuò)展運(yùn)算符包括:=、*=、/=、%=、+=、-=、=、=、&=、=、|=,它們都

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論