MATLAB應(yīng)用于數(shù)字通信系統(tǒng)調(diào)制解調(diào)技術(shù)的仿真設(shè)計(jì)研究_第1頁(yè)
MATLAB應(yīng)用于數(shù)字通信系統(tǒng)調(diào)制解調(diào)技術(shù)的仿真設(shè)計(jì)研究_第2頁(yè)
MATLAB應(yīng)用于數(shù)字通信系統(tǒng)調(diào)制解調(diào)技術(shù)的仿真設(shè)計(jì)研究_第3頁(yè)
MATLAB應(yīng)用于數(shù)字通信系統(tǒng)調(diào)制解調(diào)技術(shù)的仿真設(shè)計(jì)研究_第4頁(yè)
MATLAB應(yīng)用于數(shù)字通信系統(tǒng)調(diào)制解調(diào)技術(shù)的仿真設(shè)計(jì)研究_第5頁(yè)
已閱讀5頁(yè),還剩24頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、畢 業(yè) 設(shè) 計(jì)論文題 目:MATLAB應(yīng)用于數(shù)字通信系統(tǒng)調(diào)制解調(diào)技術(shù)的仿真設(shè)計(jì)研究摘 要 如今社會(huì)已經(jīng)步入信息時(shí)代,在各種信息技術(shù)中,信息的傳輸及通信起著支撐作用。而對(duì)于信息的傳輸,數(shù)字通信已經(jīng)成為重要的手段。因此信號(hào)的調(diào)制方式也由模擬方式持續(xù)、廣泛地向數(shù)字方式轉(zhuǎn)換。本論文根據(jù)當(dāng)今現(xiàn)代通信技術(shù)的開展,對(duì)信號(hào)的數(shù)字調(diào)制方式的一種 MFSK的調(diào)制解調(diào)原理進(jìn)行了研究和實(shí)驗(yàn)。并用城市地形對(duì)設(shè)計(jì)結(jié)果進(jìn)行了論證。關(guān)鍵詞:城市地形 ;通信系統(tǒng);FSK ;MFSK;仿真Abstract Now it is an information society. In the all of information te

2、chnologies, transmission and communication of information take an important effect. For the transmission of information, Digital communication has been an important means . So the way of signal modulation is converted from analog to digital continually and widely. This thesis studies the theory of m

3、odulation and demodulation of MFSK scheme which is a kind of digital method depending on the development of todays communication technologies. And the result of design has been validated with urban topographic.Key Words:urban topographic; communication system; FSK; MFSK; simulation 目 錄第一章 緒論第二章 數(shù)字通信

4、系統(tǒng)及調(diào)制解調(diào) 信道編碼調(diào)制解調(diào)技術(shù)程序仿真中相關(guān)MATLAB庫(kù)函數(shù)M函數(shù)的介紹第三章 本論 3.1提出城市地形MFSK技術(shù)探析 MSK原理 MSK調(diào)制的特點(diǎn) 模型設(shè)計(jì)原理第四章 具體設(shè)計(jì) 第五章 結(jié)論致謝參考文獻(xiàn)第一章 緒論 當(dāng)今, 通信技術(shù)的開展 日新月異, 通信系統(tǒng)也 日趨復(fù)雜,因此在各種通信系統(tǒng)的設(shè)計(jì)研發(fā)環(huán)節(jié)中, 軟件仿真已成為必 不可少的局部。Matlab語(yǔ)言的 simulink動(dòng)態(tài)系統(tǒng)仿真軟件包,是一個(gè)常用的電子設(shè)計(jì) 自動(dòng)化( E D A ) 軟件, 它支持連續(xù)、 離散兩種混合的線性和非線性系統(tǒng) , 也支持具有多種采樣速率的 多速率系統(tǒng)。尤其是它所包含的各種通信仿真模塊已作為各 種

5、通信系統(tǒng)分析、 設(shè)計(jì) 、 仿真和實(shí)驗(yàn)的綜合平臺(tái)。在頻帶受限的信道中,總是希望既能提高頻帶利用率,又能在不增加信道 傳輸帶寬的前提下降低過(guò)失率。多進(jìn)制頻移控MFSK,multiple frequency shift keying,是一種在各種頻率離散音頻脈沖爆發(fā)傳送數(shù)字信息的信號(hào)調(diào)制方法。它原來(lái)是歐洲和英國(guó)政府機(jī)構(gòu)在20世紀(jì)中葉使用。在那時(shí)它叫做Piccolo,一種樂(lè)器的名字,這種樂(lè)器的聲音音調(diào)很高,就像一個(gè)MFSK信號(hào)經(jīng)過(guò)收音機(jī)的喇叭時(shí)發(fā)出的聲音。 MFSK類似頻移監(jiān)控FSK,但是使用的頻率要至少多兩個(gè)。最常見的MFSK形式使用16個(gè)頻率,叫做MFSK16。這些音調(diào)一次傳送一個(gè)。每個(gè)音調(diào)持續(xù)時(shí)

6、間不到一秒。MFSK中波特每秒傳輸?shù)臄?shù)目與比特/秒bps的比率要比二進(jìn)制中小。這減少了噪音和對(duì)數(shù)據(jù)傳輸速率的干擾的錯(cuò)誤的產(chǎn)生。為了提供更大的精確性,前向糾錯(cuò)技術(shù)FEC被使用。 MFSK的缺點(diǎn)包括:給定數(shù)據(jù)速率的信號(hào)帶寬比二進(jìn)制大,接收設(shè)備的調(diào)整比擬嚴(yán)格。為了使MFSK的減少錯(cuò)誤的特性發(fā)揮作用,接收器必須能夠雜長(zhǎng)時(shí)間保持固定頻率。 即使MFSK是一個(gè)幾十年的老方法,一些工程師仍認(rèn)為它是一種尖端的方法。先在,它的主要使用者是業(yè)余無(wú)線電試驗(yàn)者。帶聲卡的計(jì)算機(jī)能夠產(chǎn)生、解碼和顯示信號(hào)。 使用MFSK16de業(yè)余無(wú)線電報(bào)務(wù)員說(shuō)使用適宜的發(fā)報(bào)機(jī)它能夠提供可靠的半雙工長(zhǎng)距離通信,而且有時(shí)在其它方法失敗時(shí),它

7、卻能夠成功。 隨著通信系統(tǒng)的規(guī)模和復(fù)雜度不斷增加,傳統(tǒng)的設(shè)計(jì)方法已經(jīng)不能適應(yīng)開展的需要,通信系統(tǒng)的模擬仿真技術(shù)越來(lái)越受到重視。傳統(tǒng)的通信仿真技術(shù)主要分為手工分析與電路試驗(yàn)兩種,可以得到與真實(shí)環(huán)境十分接近的結(jié)果,但耗時(shí)長(zhǎng),方法比擬繁雜,而通信系統(tǒng)的計(jì)算機(jī)模擬仿真技術(shù)是介于上述兩種方法的一種系統(tǒng)設(shè)計(jì)方法,它可以讓用戶在很短的時(shí)間內(nèi)建立整個(gè)通信系統(tǒng)模型,并對(duì)其進(jìn)行模擬仿真。早期,多采用計(jì)算機(jī)高級(jí)程序語(yǔ)言(FORTRAN、PASCAL、C等)進(jìn)行仿真,用這些高級(jí)程序語(yǔ)言編寫系統(tǒng)仿真程序,雖然比上述兩種方法更加便捷,但在程序編寫中仍需花費(fèi)大量時(shí)間精力考慮事件的發(fā)生、處理以及結(jié)果的可視化等因素。即使是一個(gè)

8、簡(jiǎn)單系統(tǒng),程序都十分冗長(zhǎng),難于調(diào)試1。隨著計(jì)算機(jī)仿真技術(shù)的開展,構(gòu)筑通信系統(tǒng)仿真平臺(tái),可以在計(jì)算機(jī)上顯示不同系統(tǒng)的工作原理,進(jìn)行波形觀察、頻譜分析和性能分析等,為通信系統(tǒng)設(shè)計(jì)和研究提供強(qiáng)有力的指導(dǎo)。多進(jìn)制頻移鍵控MFSK調(diào)制原理:在MFSK方式中,MFSK信號(hào)常用頻率選擇法產(chǎn)生。調(diào)制器框圖如圖1-7所示,先將數(shù)據(jù)比特流經(jīng)過(guò)一個(gè)串/并轉(zhuǎn)換器變成多路并行數(shù)據(jù),將這多路并行數(shù)據(jù)分別用不同的頻率通過(guò)線性門進(jìn)行選擇,再將各線性門輸出的數(shù)據(jù)進(jìn)行疊加,輸出的即為MFSK信號(hào)。圖1-1 MFSK調(diào)制器框圖解調(diào)原理:MFSK的解調(diào)方法常用非相干解調(diào),如圖1-2所示,將接受到的MFSK信號(hào)通過(guò)濾波器進(jìn)行濾波,然后

9、將濾波后的信號(hào)分別送到個(gè)帶通濾波器進(jìn)行濾波,再將輸出信號(hào)進(jìn)行包絡(luò)檢波,最后將這個(gè)信號(hào)進(jìn)行抽樣和判決,最后經(jīng)過(guò)一個(gè)邏輯電路,將多進(jìn)制信號(hào)轉(zhuǎn)化為二進(jìn)制信號(hào)即可。圖1-2 MFSK信號(hào)非相干解調(diào) 第二章 數(shù)字通信系統(tǒng)及調(diào)制解調(diào)最簡(jiǎn)單的數(shù)字通信系統(tǒng)模型由信源、信道和信宿三個(gè)根本局部組成。實(shí)際的數(shù)字通信系統(tǒng)模型要比簡(jiǎn)單的數(shù)字通信系統(tǒng)模型復(fù)雜得多。一般的數(shù)字通信系統(tǒng)模型如圖1-1所示。圖2-1 數(shù)字通信系統(tǒng)模型信道編碼的根本思想和根本方式信道編碼的根本思想是:在發(fā)端被傳輸?shù)男畔⑿蛄猩细郊右恍┒嘤嗟臋z驗(yàn)碼元,這些監(jiān)督碼元與信息碼元間以某種特定的規(guī)那么相互關(guān)聯(lián)。接收端按照既定的規(guī)那么檢驗(yàn)信息碼元與監(jiān)督碼元間的

10、關(guān)系,一但傳輸出錯(cuò),那么兩者間的關(guān)系將會(huì)受到破壞,從而可以發(fā)現(xiàn)錯(cuò)誤,乃至糾正錯(cuò)誤。信道編碼的根本方式是:前向糾錯(cuò)FEC、檢錯(cuò)重傳ARQ、混合過(guò)失控制HEC和信息反應(yīng)IRQ。常用的信道編碼1線性分組碼在分組碼中,編碼后的碼元序列每n位為一組,其中k是信息碼元,rr=n-k個(gè)是附加的監(jiān)督碼元。如果信息元與監(jiān)督碼元之間呈線性關(guān)系,那么為線性分組碼。奇偶校驗(yàn)碼和漢明碼是典型的線性分組碼。循環(huán)碼是線性分組碼,既可糾錯(cuò)又可檢錯(cuò)。特點(diǎn)是任一碼組的每一次循環(huán)移位得到的是碼中的另一碼組。BCH碼是一類糾正多個(gè)隨機(jī)錯(cuò)誤的循環(huán)碼。2卷積碼卷積碼是另一類信道編碼,它也是把k位信息編成nn大于等于k位,但k和n都很小,

11、適于串行傳輸。特點(diǎn)是編碼后的n個(gè)碼元不僅與當(dāng)前段的k位信息有關(guān),而且與前N-1段的信息有關(guān),編碼過(guò)程中相互關(guān)聯(lián)的碼元有Nn個(gè)。糾錯(cuò)能力隨N的增加而增加,而過(guò)失率隨N的增加而指數(shù)下降。編碼過(guò)程可以看成是輸入信息序列與由移位存放器和模2加連接所決定的的另一序列的卷積,因此稱為卷積沒(méi)碼。N稱為約束長(zhǎng)度,m=N-1稱為編碼存儲(chǔ)。調(diào)制解調(diào)是數(shù)字通信系統(tǒng)的重要組成局部。調(diào)制解調(diào)的目的是使已調(diào)信號(hào)具有高的頻譜利用率和的抗干擾和抗衰落的能力。下面就對(duì)數(shù)字調(diào)制解調(diào)技術(shù)進(jìn)行介紹。調(diào)制解調(diào)的概念所謂調(diào)制,就是用調(diào)制信號(hào)基帶去控制或改變載波的一個(gè)或幾個(gè)參數(shù),使調(diào)制后的信號(hào)已調(diào)信號(hào)含有原來(lái)調(diào)制信號(hào)的全部信息,但載波的某

12、些參數(shù)按調(diào)制信號(hào)的規(guī)律變化。調(diào)制的目的是使傳輸?shù)男盘?hào)與信道相匹配,從而有效傳輸信號(hào)。解調(diào)是調(diào)制的逆過(guò)程,它是從已調(diào)信號(hào)中恢復(fù)出原來(lái)調(diào)制信號(hào)的過(guò)程。從廣義上講,調(diào)制與解調(diào)屬于信道編/譯碼范疇,但調(diào)制與解調(diào)的目的是實(shí)現(xiàn)載波傳輸,而信道編/譯碼的主要目的是實(shí)現(xiàn)過(guò)失控制。數(shù)字調(diào)制的根本類型數(shù)字信號(hào)調(diào)制的根本類型分振幅鍵控ASK、頻移鍵控FSK和相移鍵控PSK。此外,還有許多由根本調(diào)制類型改良或綜合而獲得的新型調(diào)制技術(shù)。表2-1給出調(diào)制方式以及主要用途。表2-1 調(diào)制方式及用途 調(diào)制方式主要用途振幅鍵控ASK數(shù)據(jù)傳輸頻率鍵控FSK數(shù)據(jù)傳輸相位鍵控PSK、DPSK、QPSK等數(shù)據(jù)傳輸、數(shù)字微波、空間通信高

13、效數(shù)字調(diào)制QAM、MSK等提高頻帶利用率數(shù)字微波、空間通信在實(shí)際應(yīng)用中,有兩類用得最多的數(shù)字調(diào)制方式1線性調(diào)制技術(shù)這里包括PSK、QPSK、DQPSK、OK-QPSK和多電平的PSK等。所謂的“線性,是指這類調(diào)制技術(shù)要求設(shè)備從頻率變換到放大和發(fā)射的過(guò)程中保持充分的線性。這種要求在制造移動(dòng)設(shè)備中增加了難度和本錢,但這種方式可獲得較高的頻譜利用率。2恒定包絡(luò)調(diào)制技術(shù)主要包括MSK、GMSK和TFM等。這類調(diào)制技術(shù)的優(yōu)點(diǎn)是已調(diào)信號(hào)具有相對(duì)窄的功率譜和對(duì)放大設(shè)備沒(méi)有線性要求,缺乏之處是其頻譜利用率通常低于線性調(diào)制技術(shù)。另一種獲得迅速開展的數(shù)字調(diào)制技術(shù)是振幅和相位聯(lián)合調(diào)制QAM。幾種常見的數(shù)字調(diào)制方式1

14、二進(jìn)制頻移鍵控2FSK調(diào)制原理:在二進(jìn)制移頻鍵控中,調(diào)制信號(hào)1或0,分別對(duì)應(yīng)載波的兩個(gè)頻率f1 或 f2 。因此,其調(diào)制實(shí)現(xiàn)方法就是用輸入的二進(jìn)制信號(hào)去控制兩個(gè)獨(dú)立的載波發(fā)生器,如圖2-2所示。圖2-2 2FSK調(diào)制解調(diào)也有相干和非相干兩種。更簡(jiǎn)單的方法是過(guò)零檢測(cè)器法:根據(jù)移頻鍵控的過(guò)零率的大小來(lái)檢測(cè)已調(diào)信號(hào)的頻率變化,其組成及各點(diǎn)波形如圖2-3所示。圖2-3 2FSK信號(hào)過(guò)零檢測(cè)法解調(diào)波形示意圖其中a是已調(diào)信號(hào),b是限幅后已調(diào)信號(hào),c是經(jīng)過(guò)微分的信號(hào),d是整流后的已調(diào)信號(hào),e是經(jīng)過(guò)寬脈沖發(fā)生后的已調(diào)信號(hào),f是經(jīng)過(guò)低通的輸出信號(hào)。2最小移頻鍵控MSK調(diào)制原理:MSK是FSK的一種特殊情況,其特

15、殊之處在于MSK在相鄰符號(hào)交界處相位保持連續(xù),是一種連續(xù)相位FSK。假設(shè)FSK看作非正交2FSK,那么MSK調(diào)制方法如圖2-4。圖2-4 MSK非正交調(diào)制式解調(diào)原理:MSK可用2FSK方法進(jìn)行相干解調(diào),并每隔Tb時(shí)刻作出判決;也可用鑒頻器的方法進(jìn)行非相干解調(diào)。3二進(jìn)制移相鍵控BPSK調(diào)制原理:載波的相位通常為00或1800隨調(diào)制信號(hào)“1或“0而改變,這種調(diào)制稱為二進(jìn)制移頻鍵控。BPSK信號(hào)是雙極性非歸零碼的雙邊帶調(diào)制。BPSK調(diào)制有直接相乘方法和相位選擇法兩種,見圖2-5。圖2-5 BPSK調(diào)制方法解調(diào)原理:對(duì)于BPSK信號(hào)的解調(diào)必須采用相干解調(diào)的方法。由于BPSK解調(diào)器中的本地載波的相位有0

16、0、1800的模糊,通常采用在調(diào)制輸入的數(shù)字基帶信號(hào)中進(jìn)行差分編碼的方法來(lái)解決。這種方法稱為二進(jìn)制差分移相鍵控2DPSK。解調(diào)時(shí)利用延遲電路將其前一碼元延遲一個(gè)碼元時(shí)間Ts作為參考相位,并與后一碼元相乘,再進(jìn)行低通濾波,最后經(jīng)取樣判決后恢復(fù)出原二進(jìn)制碼。差分相干解調(diào)法見圖2-6。圖2-6 BPSK差分相干解調(diào)法4多進(jìn)制頻移鍵控MFSK調(diào)制原理:在MFSK方式中,MFSK信號(hào)常用頻率選擇法產(chǎn)生。調(diào)制器框圖如圖2-7所示,先將數(shù)據(jù)比特流經(jīng)過(guò)一個(gè)串/并轉(zhuǎn)換器變成多路并行數(shù)據(jù),將這多路并行數(shù)據(jù)分別用不同的頻率通過(guò)線性門進(jìn)行選擇,再將各線性門輸出的數(shù)據(jù)進(jìn)行疊加,輸出的即為MFSK信號(hào)。圖2-7 MFSK

17、調(diào)制器框圖解調(diào)原理:MFSK的解調(diào)方法常用非相干解調(diào),如圖2-8所示,將接受到的MFSK信號(hào)通過(guò)濾波器進(jìn)行濾波,然后將濾波后的信號(hào)分別送到個(gè)帶通濾波器進(jìn)行濾波,再將輸出信號(hào)進(jìn)行包絡(luò)檢波,最后將這個(gè)信號(hào)進(jìn)行抽樣和判決,最后經(jīng)過(guò)一個(gè)邏輯電路,將多進(jìn)制信號(hào)轉(zhuǎn)化為二進(jìn)制信號(hào)即可。圖2-8 MFSK信號(hào)非相干解調(diào)5高斯濾波的最小移頻鍵控GMSK調(diào)制原理:GMSK信號(hào)是通過(guò)在FM調(diào)制器前參加高斯低通濾波器稱為預(yù)調(diào)制濾波器而產(chǎn)生的。原理圖如圖2-9所示。圖2-9 GMSK信號(hào)產(chǎn)生原理圖解調(diào)原理:GMSK信號(hào)的解調(diào)可以采用MSK一樣的正交相干解調(diào)電路。在相干解調(diào)中最為重要的是相干載波的提取,在移動(dòng)通信的環(huán)境中

18、是比擬困難的,因而通常采用差分解調(diào)和鑒頻器解調(diào)等非相干解調(diào)。程序仿真中相關(guān)MATLAB庫(kù)函數(shù)M函數(shù)的介紹*randint 功能:歸一化分布隨機(jī)整數(shù)、矩陣產(chǎn)生器。 說(shuō)明:out= randint(N,M)中 N代表矩陣的行數(shù),M代表矩陣的列數(shù)。此命令可以產(chǎn)生一個(gè) N*M均勻分布的二進(jìn)制矩陣。*encode 功能:過(guò)失控制編碼信道編碼 說(shuō)明:code=encode(msg,N,K,method,opt)中 msg是信息, N 是碼字長(zhǎng)度,K是信息位長(zhǎng)度,method注明編碼方式,opt是有些編碼方式需要的參數(shù)。此命令可以完成漢明碼、線性分組碼、cyclic碼、BCH碼、R-S碼、卷積碼六種主要的過(guò)

19、失控制編碼。*decode 功能:過(guò)失控制譯碼信道譯碼 說(shuō)明:msg=decode(code,N,K,method)中 code是指接收到的碼字,N是碼字長(zhǎng)度,K是信息位長(zhǎng)度,method注明譯碼的方式。此命令可以對(duì)接收到的碼長(zhǎng)為N,信息位為K的碼字進(jìn)行譯碼,恢復(fù)出原始的信息,譯碼方式必須和編碼時(shí)采用的嚴(yán)格相同。同樣具有六種主要的過(guò)失控制譯碼。*dmod 功能:數(shù)字調(diào)制通帶信號(hào) 說(shuō)明:modu=dmod(x,Fc,Fd,Fs,method,M)中x是輸入信號(hào),F(xiàn)c是輸入信號(hào)x的載波頻率,F(xiàn)d是輸入信號(hào)x的抽樣頻率, Fs是仿真是的抽樣頻率,method注明了調(diào)制方式,M是進(jìn)制數(shù),此命令可以對(duì)數(shù)

20、字信號(hào)x進(jìn)行調(diào)制。method對(duì)應(yīng)的調(diào)制方法有ask,fsk,msk,psk,qask。注意Fs/Fc>=2, Fs/Fd必須是整數(shù)。*ddemod 功能:數(shù)字解調(diào)通帶信號(hào) 說(shuō)明:x=dmod(y,Fc,Fd,Fs,method,M) 中y是經(jīng)過(guò)dmod 調(diào)制的信號(hào),F(xiàn)c是輸入信號(hào)x的載波頻率,F(xiàn)d是輸入信號(hào)x的抽樣頻率, Fs是仿真是的抽樣頻率,method注明了解調(diào)方式,M是進(jìn)制數(shù),此命令可以把用dmod調(diào)制的信號(hào)y解調(diào)出。method也有五種調(diào)制方法。*biterr 功能:計(jì)算誤比特?cái)?shù)、誤比特率 說(shuō)明:rate= biterr(x,y,K)中x 和y都是矩陣,K是指定x,y矩陣中每

21、個(gè)元素都用k位二進(jìn)制數(shù)表示。此命令可以計(jì)算兩個(gè)輸入矩陣x,y的不同元素的個(gè)數(shù)和之比。x,y中的元素必須是非負(fù)的二進(jìn)制數(shù)式整數(shù)。此命令可以用來(lái)計(jì)算誤比特率,并且,x,y 中的一個(gè)為接收數(shù)據(jù),一個(gè)為正確的參考數(shù)據(jù)。*fopen 功能:翻開文件 說(shuō)明:fid=fopen(filename,permission)其中filename表示要讀寫的文件名稱,permission那么表示要對(duì)文件進(jìn)行的處理方法,處理方法可以是以下任一字符串: r:只讀文件 w: 只寫文件 a: 只參加文件 a+: 可讀取及參加文件*fread 功能: 可從文件中讀取二進(jìn)制數(shù)據(jù),將每一個(gè)字節(jié)看成一個(gè)整數(shù),并將結(jié)果以一矩陣返回。

22、 說(shuō)明:fid=fopen('filename','r');% 讀取filename文件 data=fread(fid,N M) %fid 是要讀取文件的標(biāo)志碼由fopen 產(chǎn)生,一般是一個(gè)非負(fù)的整數(shù)。假設(shè)返回的文件標(biāo)志碼為-1,那么代表該文件無(wú)法翻開,N M是指把讀出的文件轉(zhuǎn)化成N行M列的矩陣。此命令可讀以取filename文件并且將其轉(zhuǎn)化為N行M列的矩陣,然后返回到data。*de2bi 功能:十二進(jìn)制轉(zhuǎn)化 說(shuō)明:msg1=de2bi(data)把data中的數(shù)據(jù)進(jìn)行十二進(jìn)制轉(zhuǎn)換。*reshape 功能:可將一矩陣保持其元素個(gè)數(shù)不變,變成所須矩陣形式。 說(shuō)明

23、:msg=reshape(msg1,n,m)n是現(xiàn)矩陣的行數(shù),m是現(xiàn)矩陣的列數(shù),msgl是需變換的矩陣,注意n*m的值必須與msg1矩陣的元素個(gè)數(shù)相同,否那么就會(huì)發(fā)生錯(cuò)誤。此命令可將msg1變換成n行m列的矩陣msg。 其它調(diào)制方式的框圖設(shè)計(jì)與其類似,主要參數(shù)差異見下表表2-2調(diào)制方式主要參數(shù)地 形信號(hào)源中“0的概率噪聲類型及信噪比BPSK平原MFSK平原GMSK平原瑞利噪聲信噪比Es/No=4BPSK城市MFSK城市GMSK城市高斯白噪聲信噪比Es/No=4BPSK丘陵MFSK丘陵GMSK丘陵 高斯白噪聲信噪比Es/No=14第三章 本論提出城市地形MFSK技術(shù)探析MSK調(diào)制方式概述MSK是

24、數(shù)字調(diào)制技術(shù)的一種。數(shù)字調(diào)制是數(shù)字信號(hào)轉(zhuǎn)換為與信道特性相匹配的波形的過(guò)程。調(diào)制過(guò)程就是輸入數(shù)據(jù)控制(鍵控)載波的幅度、頻率和相位。MSK屬于恒包絡(luò)數(shù)字調(diào)制技術(shù)?,F(xiàn)代數(shù)字調(diào)制技術(shù)的研究,主要是圍繞著充分的節(jié)省頻譜和高效率地利用可用頻帶這個(gè)中心而展開的。隨著通信容量的迅速增加,致使射頻頻譜非常擁擠,這就要求必須控制射頻輸出信號(hào)的頻譜。但是由于現(xiàn)代通信系統(tǒng)中非線性器件的存在,引入了頻譜擴(kuò)展,抵消了發(fā)送端中頻或基帶濾波器對(duì)減小帶外衰減所做的奉獻(xiàn)o。這是因?yàn)槠骷姆蔷€性具有幅相轉(zhuǎn)換(AM/PM)效應(yīng),會(huì)使己經(jīng)濾除的帶外份量幾乎又都被恢復(fù)出來(lái)了。為了適應(yīng)這類信道的特點(diǎn),必須設(shè)法尋找一些新的調(diào)制方式,要求它

25、所產(chǎn)生的己調(diào)信號(hào),經(jīng)過(guò)發(fā)端帶限后,雖然仍舊通過(guò)非線性器件,但是,非線性器件輸出信號(hào)只產(chǎn)生很小的頻譜擴(kuò)展。為了適應(yīng)這類信道的特性,已調(diào)信號(hào)須有以下兩個(gè)特點(diǎn):1.包絡(luò)恒定或包絡(luò)起伏很小 由于信道中具有非線性的輸入輸出特性,所以已調(diào)波包絡(luò)不能起伏,即不能用包絡(luò)來(lái)攜帶信息,需要采用頻移鍵控(FSK)或相移鍵控(PSK)來(lái)傳遞信息。2.具有最小功率譜占用率已調(diào)波要具有快速高頻滾降的頻譜特性,要求旁瓣必須很小,這種信號(hào)經(jīng)過(guò)帶限濾波之后,只要讓主瓣無(wú)失真通過(guò),由于旁瓣功率很小,所以濾波器的輸出信號(hào)(即非線性器件的輸入信號(hào))的包絡(luò)起伏就會(huì)很小,大大減小了AM/PM效應(yīng),繼而頻譜擴(kuò)展的現(xiàn)象也會(huì)隨之而減小。由于已

26、調(diào)波具有快速高頻滾降的頻譜特性,使信號(hào)能量大局部集中在一定的帶寬內(nèi),因此提高了頻帶的利用率。 根據(jù)這些要求,人們?cè)趯?shí)踐中創(chuàng)造了各式各樣的調(diào)制方式,我們稱之為現(xiàn)代恒包絡(luò)數(shù)字調(diào)制技術(shù)?,F(xiàn)代數(shù)字調(diào)制技術(shù)的開展方向是最小功率譜占有率的恒包絡(luò)數(shù)字調(diào)制技術(shù)。現(xiàn)代數(shù)字調(diào)制技術(shù)的關(guān)鍵在于相位變化的連續(xù)性。MSK(最小頻移鍵控)是移頻鍵控FSK的一種改良形式。在二進(jìn)制FSK方式中載波頻率隨著調(diào)制信號(hào)“1或“0而變,其相位通常是不連續(xù)的。所謂MSK方式,就是FSK信號(hào)的相位始終保持連續(xù)變化的一種特殊方式??梢钥闯墒钦{(diào)制指數(shù)為0. 5的一種CPFSK信號(hào)。 MSK是一種在無(wú)線移動(dòng)通信中很有吸引力的數(shù)字調(diào)制方式,因?yàn)?/p>

27、它有以下兩種主要的特點(diǎn):1.信號(hào)能量的99. 5%被限制在數(shù)據(jù)傳輸速率的1. 5倍的帶寬內(nèi)。譜密度隨頻率(遠(yuǎn)離信號(hào)帶寬中心)倒數(shù)的四次冪而下降,而通常的離散相位FSK信號(hào)的譜密度卻隨頻率倒數(shù)的平方下降。因此,MSK信號(hào)在帶外產(chǎn)生的干擾非常小。這正是限帶工作情況下所希望有的珍貴特點(diǎn)。2.信號(hào)包絡(luò)是恒定的,系統(tǒng)可以使用廉價(jià)高效的非線性器件。從相位路徑的角度來(lái)看,MSK屬于線性連續(xù)相位路徑數(shù)字調(diào)制,是連續(xù)相位頻移鍵控(CPFSK)的一種特殊情況,有時(shí)也叫做最小頻移鍵控(MSK )。MSK的“最小(Minimum )二字指的是這種調(diào)制方式能以最小的調(diào)制指數(shù)(h=0.5 )獲得正交的調(diào)制信號(hào)。MSK原理

28、MSK波形有多種表示形式。下面是其中一種: f為載波頻率,A為振幅,信號(hào)的功率E與成正比,相位a(t)攜帶了所有的信息,其中 a(t)=a(0)+2, a(0)為初始相位,我們認(rèn)為它是的。為調(diào)制指數(shù),它決定了一個(gè)符號(hào)帶來(lái)的相位變化, , q(t)為相位平滑函數(shù),它很大程度上決定了信號(hào)的形狀繼而影響到性能。q(t)為一個(gè)分段函數(shù):當(dāng)時(shí),q(t) = 0,當(dāng)時(shí),。其中L可以被看作調(diào)制方法的記憶長(zhǎng)度,它決定了每一個(gè)符號(hào)究竟影響到該符號(hào)以后的多少個(gè)符號(hào)間隔。實(shí)際上MSK屬于連續(xù)相位調(diào)制(CPM)的一種,在CPM中L=1時(shí)被稱作全響應(yīng)調(diào)制,當(dāng)L時(shí)被稱作局部響應(yīng)調(diào)制。MSK屬于全響應(yīng)調(diào)制,即L=1。從MS

29、K的表達(dá)式可以得知,MSK的相位是由兩局部組成的,一局部是載波隨時(shí)間連續(xù)增加的相位2ft,另外一局部是攜帶信息的附加相位,它與原始數(shù)據(jù)息息相關(guān),可以被稱為基帶相位.一般移頻鍵控(2FSK)兩個(gè)信號(hào)波形具有以下的相關(guān)系數(shù): (2.2-3)其中 (2.2-4)因?yàn)镸SK是一種正交調(diào)制,其信號(hào)波形的相關(guān)系數(shù)等于零,所以上式等號(hào)右側(cè)的第一項(xiàng)和第二項(xiàng)均應(yīng)為零。第一項(xiàng)等于零的條件是2=k,,令k等于其最小值1,那么得到 (2.2-5)即傳號(hào)頻率和空號(hào)頻率在一個(gè)符號(hào)周期內(nèi)的相位累計(jì)嚴(yán)格的相差180。式(2.2-3)中等號(hào)右側(cè)第二項(xiàng)等于零的條件是4. 綜上所述得到的頻率約束關(guān)系: (2.2-6)在一個(gè)符號(hào)周期

30、內(nèi)必須包含四分之一載波周期的整數(shù)倍。載波頻率和傳號(hào)頻率相差四分之一符號(hào)速率,與空號(hào)頻率也相差四分之一的符號(hào)速率: (2.2-7) 從(2.2-2 )式可以看出,在一個(gè)碼元周期內(nèi),其基帶相位總是線性累積,因此碼元終止位與起始相位之差也是。如果一個(gè)碼元是1那么在該碼元周期內(nèi),基帶相位均勻增加,在碼元末尾處基帶相位比碼元開始處基帶相位要大。相反如果一個(gè)碼元是“0,那么在該碼元周期內(nèi),基帶相位均勻減小,即在碼元末尾處基帶相位比碼元開始處基帶相位要小,這是MSK的一個(gè)重要特征,也是差分解調(diào)的依據(jù)。 所謂“連續(xù)是指當(dāng)前所要討論的碼元。范圍內(nèi),其起始相位等于與相鄰的前一個(gè)碼元的終一止相位(對(duì)應(yīng)于t=時(shí)的相位

31、)。對(duì)于任何一個(gè)碼元來(lái)說(shuō),它在一個(gè)碼元間隔內(nèi),相對(duì)于載波相位差雖然只變化,但在這個(gè)碼元內(nèi),相對(duì)于載波相位的實(shí)際數(shù)值卻是千變?nèi)f化的,這與它前面己經(jīng)發(fā)送過(guò)的碼流有關(guān)。相對(duì)于載波相位來(lái)說(shuō),由式(2.2-2 )可知基帶相位值與時(shí)間t之間存在著一定的關(guān)系。a(t)又稱為附加相位函數(shù),它是MSK信號(hào)的總相位減去隨時(shí)間線性增長(zhǎng)的載波相位而得到的剩余相位。A(t)的尾的基帶相位。此外,隨著k值的不同,是取值士1的隨機(jī)數(shù),所以也是分段線表達(dá)式( 2.2-2 )是一直線方程式,直線的斜率是,截距是上個(gè)碼元末的相位函數(shù)(以碼元寬度為段)。在任一碼元期間,此函數(shù)的變化量總是。當(dāng)=1,增大;當(dāng)=-1時(shí),減少。MSK可能

32、的基帶相位路徑特點(diǎn):1.當(dāng)時(shí)間t為的奇數(shù)倍時(shí),即t=(2k+1)時(shí),式中的k為任意的整數(shù),那么a(t)總是的奇數(shù)倍。而當(dāng)時(shí)間t為的偶數(shù)倍時(shí),即t=2k,那么a(t)總是pi/2的偶數(shù)倍。對(duì)余弦函數(shù)而言,它總是以2為模的,所以當(dāng)t=(2k+1) 時(shí),a(t)取值只有以2為模)。當(dāng)t=2k時(shí),a(t)取值只有0或2(以2為模)。2.在任何一個(gè)碼元內(nèi),其截距不是為0就是整數(shù)倍。同樣,由于余弦函數(shù)總以2為模,所以截距的取值只有0或2 (以2為模)。MSK調(diào)制的特點(diǎn)由以上討論可知,MSK信號(hào)具有如下特點(diǎn):1.恒定包絡(luò),允許用非線性幅度飽和器件放大。2.連續(xù)相位,使得功率譜密度按速率降低。功率譜在主瓣以后

33、衰減得較快。MSK信號(hào)的功率譜密度表示式為: (2.2-8)其中為載波信號(hào)的振幅。3.瞬時(shí)頻率總是兩個(gè)值之一,瞬時(shí)頻移為,為比特速率。頻率關(guān)系為:,n為大于4的整數(shù)。相應(yīng)的調(diào)制指數(shù)h=.以載波相位為基準(zhǔn)的信號(hào)相位,在一個(gè)碼元內(nèi)準(zhǔn)確地線性變化,在一個(gè)碼元期間內(nèi),信號(hào)應(yīng)包括四分之一個(gè)載波周期的整數(shù)倍。4.碼元轉(zhuǎn)換時(shí)刻,信號(hào)的相位是連續(xù)的,或者說(shuō),信號(hào)的波形沒(méi)有突變。碼元轉(zhuǎn)換可在瞬時(shí)幅度為零時(shí)發(fā)生,從而使調(diào)制器開關(guān)過(guò)程的波形失真最小。5.頻譜帶寬窄,從而可允許帶通濾波器帶寬較窄。與QPSK相比,MSK具有較寬的主瓣,其第一個(gè)零點(diǎn)出現(xiàn)在處,而QPSK的第一個(gè)零點(diǎn)出現(xiàn)在,由于信號(hào)能量在之外下降很快,所以

34、典型帶寬取,即一可。由于上述特點(diǎn)以及恒定包絡(luò)特點(diǎn),MSK信號(hào)在幅度和頻帶受限時(shí)能量損失不大對(duì)性能的影響較小。模型設(shè)計(jì)原理MSK信號(hào)時(shí)調(diào)制指數(shù)h為1/2的連續(xù)相位調(diào)制CPFSK。MSK信號(hào)第n個(gè)碼元的時(shí)間函數(shù)SMSKt= = -, 式中,二進(jìn)制數(shù)碼In的取值為+1或-1;是載波頻率;A是載波幅度;T是碼元周期;是nT時(shí)刻的相位累積值。從式中可以看到,MSK的調(diào)制可以通過(guò)兩路正交的幅度調(diào)制載波信號(hào)相加來(lái)實(shí)現(xiàn),其中兩路正交載波分別是cos和sin,幅度都為A,調(diào)制在cos上的基帶信號(hào)稱為I路,調(diào)制在sin上的基帶信號(hào)稱為Q路。建立模型MSK調(diào)制仿真模型圖MSK解調(diào)仿真模型圖MFSK多進(jìn)制頻移控,是一

35、種在各種頻率離散音頻脈沖爆發(fā)傳送數(shù)字信息的信號(hào)調(diào)制方法。它原來(lái)是歐洲和英國(guó)政府機(jī)構(gòu)在20世紀(jì)中葉使用。在那時(shí)它叫做Piccolo,一種樂(lè)器的名字,這種樂(lè)器的聲音音調(diào)很高,就像一個(gè)MFSK信號(hào)經(jīng)過(guò)收音機(jī)的喇叭時(shí)發(fā)出的聲音。MFSK類似頻移監(jiān)控FSK,但是使用的頻率要至少多兩個(gè)。最常見的MFSK形式使用16個(gè)頻率,叫做MFSK16。這些音調(diào)一次傳送一個(gè)。每個(gè)音調(diào)持續(xù)時(shí)間不到一秒。MFSK中波特每秒傳輸?shù)臄?shù)目與比特/秒bps的比率要比二進(jìn)制中小。這減少了噪音和對(duì)數(shù)據(jù)傳輸速率的干擾的錯(cuò)誤的產(chǎn)生。為了提供更大的精確性,前向糾錯(cuò)技術(shù)FEC被使用。MFSK的缺點(diǎn)包括:給定數(shù)據(jù)速率的信號(hào)帶寬比二進(jìn)制大,接收設(shè)

36、備的調(diào)整比擬嚴(yán)格。為了使MFSK的減少錯(cuò)誤的特性發(fā)揮作用,接收器必須能夠雜長(zhǎng)時(shí)間保持固定頻率。即使MFSK是一個(gè)幾十年的老方法,一些工程師仍認(rèn)為它是一種尖端的方法。先在,它的主要使用者是業(yè)余無(wú)線電試驗(yàn)者。帶聲卡的計(jì)算機(jī)能夠產(chǎn)生、解碼和顯示信號(hào)。 使用MFSK16de業(yè)余無(wú)線電報(bào)務(wù)員說(shuō)使用適宜的發(fā)報(bào)機(jī)它能夠提供可靠的半雙工長(zhǎng)距離通信,而且有時(shí)在其它方法失敗時(shí),它卻能夠成功。研究多音頻MFSK信號(hào)在相關(guān)Nakagami衰落環(huán)境下的性能,通過(guò)信道協(xié)方差矩陣的Cholesky 分解將相關(guān)隨機(jī)變量轉(zhuǎn)換為獨(dú)立隨機(jī)變量的加權(quán)和,推導(dǎo)出輸出信噪比的近似概率密度函數(shù)以及條件錯(cuò)誤概率和平均字符錯(cuò)誤概率聯(lián)合邊界表達(dá)

37、式。通過(guò)Matlab仿真,證實(shí)推導(dǎo)出的平均字符錯(cuò)誤概率聯(lián)合邊界的有效性,其性能優(yōu)于MFSK系統(tǒng)。第四章 具體設(shè)計(jì)針對(duì)城市地形MFSK設(shè)計(jì)技術(shù)方案MFSK簡(jiǎn)介MFSK系統(tǒng)是2FSK(二頻鍵控)系統(tǒng)的推廣,該系統(tǒng)有M個(gè)不同的載波頻率可供選擇,每一個(gè)載波頻率對(duì)應(yīng)一個(gè)M進(jìn)制碼元信息,即用多個(gè)頻率不同的正弦波分別代表不同的數(shù)字信號(hào),在某一碼元時(shí)間內(nèi)只發(fā)送其中一個(gè)頻率。MFSK信號(hào)可表示為: 為載波角頻率,通常采用相位不連續(xù)的振蕩頻率,這樣便于利用合成器來(lái)提供穩(wěn)定的信號(hào)頻率。圖1 為MFSK系統(tǒng)的原理框圖。在發(fā)送端,輸入的二進(jìn)制碼元經(jīng)過(guò)邏輯電路和串/并變換電路轉(zhuǎn)換為M進(jìn)制碼元,每k位二進(jìn)制碼分為

38、一組,用來(lái)選擇不同的發(fā)送頻率。在接收端,當(dāng)某一載波頻率到來(lái)時(shí),只有相應(yīng)頻率的帶通濾波器能收到信號(hào),其它帶通濾波器輸出的都是噪聲。抽樣判決器的任務(wù)就是在某一時(shí)刻比擬所有包絡(luò)檢波器的輸出電壓,通過(guò)選擇最大值來(lái)進(jìn)行判決。將最大值輸出就得到一個(gè)M進(jìn)制碼元,然后,再經(jīng)過(guò)邏輯電路轉(zhuǎn)換成k位二進(jìn)制并行碼,再經(jīng)過(guò)并/串變換電路轉(zhuǎn)換成串行二進(jìn)制碼,從而完成解調(diào)過(guò)程。圖1 MFSK系統(tǒng)原理框圖 MFSK調(diào)制電路的FPGA實(shí)現(xiàn)基于FPGA的MFSK調(diào)制電路方框圖   調(diào)制電路方框圖如圖2所示?;鶐盘?hào)通過(guò)串/并轉(zhuǎn)換得到2位并行信號(hào);四選一開關(guān)根據(jù)兩位并行信號(hào)選擇相應(yīng)的載波輸出例中M取4

39、。圖2  MFSK調(diào)制電路方框圖城市地形下MFSK仿真方案設(shè)計(jì)MFSK調(diào)制VHDL程序及仿真 MFSK調(diào)制電路VHDL程序library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PL_MFSK isport(clk :in std_logic; -系統(tǒng)時(shí)鐘 start :in std_logic; -開始調(diào)制信號(hào) x :in std_logic; -基帶信號(hào) y :out std_logic); -調(diào)制信號(hào)end

40、PL_MFSK;architecture behav of PL_MFSK issignal q :integer range 0 to 15; -計(jì)數(shù)器signal f :std_logic_vector(3 downto 0); -分頻器signal xx:std_logic_vector(1 downto 0); -存放輸入信號(hào)x的2位存放器signal yy:std_logic_vector(1 downto 0); -存放xx信號(hào)的存放器beginprocess(clk) -此進(jìn)程過(guò)對(duì)clk進(jìn)行分頻,得到4種載波信號(hào)f3、f2、 f1、f0。beginif clk'event

41、 and clk='1' then if start='0' then f<="0000" elsif f="1111" then f<="0000" else f<=f+1; end if;end if;end process;process(clk) -對(duì)輸入的基帶信號(hào)x進(jìn)行串/并轉(zhuǎn)換,得到2位并行信號(hào)的yy beginif clk'event and clk='1' then if start='0' then q<=0; elsif q=0 then q<=1;xx(1)<=x;yy<=xx; elsif q=8 then q<=9;xx(0)<=x; else q<=q+1; end if;end if;end process;process(clk,yy) -此進(jìn)

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論