基于單片機(jī)的波形發(fā)生器專(zhuān)業(yè)課程設(shè)計(jì)版_第1頁(yè)
基于單片機(jī)的波形發(fā)生器專(zhuān)業(yè)課程設(shè)計(jì)版_第2頁(yè)
基于單片機(jī)的波形發(fā)生器專(zhuān)業(yè)課程設(shè)計(jì)版_第3頁(yè)
基于單片機(jī)的波形發(fā)生器專(zhuān)業(yè)課程設(shè)計(jì)版_第4頁(yè)
基于單片機(jī)的波形發(fā)生器專(zhuān)業(yè)課程設(shè)計(jì)版_第5頁(yè)
已閱讀5頁(yè),還剩22頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、基于單片機(jī)的波形發(fā)生器專(zhuān)業(yè)課程設(shè)計(jì)版基于單片機(jī)的波形發(fā)生器摘要本系統(tǒng)是基于AT89C51單片機(jī)的數(shù)字式低頻信號(hào)發(fā)生器。采用AT89C51單片機(jī)作為控制核心,外圍采用數(shù)字/模擬轉(zhuǎn)換電路(DAC0832)、運(yùn)放電路(LM324)、按鍵和8位數(shù)碼管等。通過(guò)按鍵控制可產(chǎn)生方波、三角波、正弦波等,同時(shí)用數(shù)碼管指示其對(duì)應(yīng)的頻率。其設(shè)計(jì)簡(jiǎn)單、性能優(yōu)好,可用于多種需要低頻信號(hào)的場(chǎng)所,具有一定的實(shí)用性。各種各樣的信號(hào)是通信領(lǐng)域的重要組成部分,其中正弦波、三角波和方波等是較為常見(jiàn)的信號(hào)。在科學(xué)研究及教學(xué)實(shí)驗(yàn)中常常需要這幾種信號(hào)的發(fā)生裝置。為了實(shí)驗(yàn)、研究方便,研制一種靈活適用、功能齊全、使用方便的信號(hào)源是十分必要的

2、。本文介紹的是利用AT89C51單片機(jī)和數(shù)模轉(zhuǎn)換器件DAC0832產(chǎn)生所需不同信號(hào)的低頻信號(hào)源,其信號(hào)幅度和頻率都是可以按要求控制的。文中簡(jiǎn)要介紹了DAC0832數(shù)模轉(zhuǎn)換器的結(jié)構(gòu)原理和使用方法,AT89C51的基礎(chǔ)理論,以及與設(shè)計(jì)電路有關(guān)的各種芯片。文中著重介紹了如何利用單片機(jī)控制D/A轉(zhuǎn)換器產(chǎn)生上述信號(hào)的硬件電路和軟件編程。信號(hào)頻率幅度也按要求可調(diào)。本次關(guān)于產(chǎn)生不同低頻信號(hào)的信號(hào)源的設(shè)計(jì)方案,不僅在理論和實(shí)踐上都能滿(mǎn)足實(shí)驗(yàn)的要求,而且具有很強(qiáng)的可行性。該信號(hào)源的特點(diǎn)是:體積小、價(jià)格低廉、性能穩(wěn)定、實(shí)現(xiàn)方便、功能齊全。關(guān)鍵詞:AT89C51DAC0832LM3248位數(shù)碼管顯示Abstract

3、WaveformThesystemisadigitalsignalgeneratorbasedonsinglechipcomputer.At89c51isusedasacontrolmicrocontrollercore.Thesystemiscomposedbydigital/analogcomversion(DAC0832),implycircuit,buttonandnixietube.Itcangeneratethesquare,triangleandsinewave,withnixietube.Thesystemcanbeusedforasignalsoureinthelow-fre

4、quencysignalsoure.Itisverypractical.Varioussignalsareanimportantpartofcorrespondentarea.Inthisarea,sinewave,trianglewaveandsquarewavearecommonsignals.Inscienceresearchandteachingexperiment,weoftenneedtheoccurrenceequipmentofthesesignals.Inordertomaketheexperimentandresearcheasier,todevelopasuitable,

5、fullfunctionalandeasilyusedsignalssourceisessential.ThispaperintroducesthelowfrequencysourcesofdifferentsignalsthatareproducedbyAT89C51SCMandDAC0832.Itssignalrangeandfrequencycanbecontrolledbyrequirement.ThispaperbrieflyintroducesthestructureprincipleandusageofDAC0832,thebasictheoryofAT89C51andvario

6、uschipswhichrelevanttodesigncircuit.thispaperemphasizedhowtouseSCMtocontrolthehardwarecircuitandsoftwareprogramofthesignalsabovewhichproducedbyDAC0832.Thesignalfrequencyrangealsocanbeadjustedbyrequirement.Thissignalsourcedesignplanconcernsonproducingdifferentlowfrequencysignals,notonlymeettherequest

7、ofexperimentintheoryandinpractice,butalsohavestrongfeasibility.Thetraitofthissignalsourceis:smallvolume,lowprice,stablefunction,easilyachievable,andfullfunction.Keywords:AT89C51,DA0832,LM324,8nixietubedisplay目錄摘要Abstract目錄II.前言01波形發(fā)生器概述11.1 波形發(fā)牛器的發(fā)展?fàn)顩r11.2 國(guó)內(nèi)外波形發(fā)生器產(chǎn)品比較32方案論證與比較42.1 方案一42.2 方案二52.3 方案

8、三53硬件原理73.1 MCS-51單片機(jī)的內(nèi)部結(jié)構(gòu)73.1.1 內(nèi)部結(jié)構(gòu)概述73.1.2 CPU結(jié)構(gòu)83.1.3 存儲(chǔ)器和特殊功能寄存器93.2 P0-P3口結(jié)構(gòu)103.3 時(shí)鐘電路和路位電路103.3.1 時(shí)鐘電路103.3.2 單片機(jī)的復(fù)位狀態(tài)113.4 DAC0832的引腳及功能124軟件原理144.1 人流程圖144.2 鋸齒波仿波圖154.3 三角波仿波圖164.4 方波仿真圖184.5 正弦波仿波圖19總結(jié)21致謝22參考文獻(xiàn)23前言波形發(fā)生器也稱(chēng)函數(shù)發(fā)生器,作為實(shí)驗(yàn)信號(hào)源,是現(xiàn)今各種電子電路實(shí)驗(yàn)設(shè)計(jì)應(yīng)用中必不可少的儀器設(shè)備之一。目前,市場(chǎng)上常見(jiàn)的波形發(fā)生器多為純硬件的搭接而成,

9、且波形種類(lèi)有限,多為鋸齒波,正弦波,方波,三角波等波形。信號(hào)發(fā)生器作為一種常見(jiàn)的應(yīng)用電子儀器設(shè)備,傳統(tǒng)的可以完全由硬件電路搭接而成,如采用555振蕩電路發(fā)生正弦波、三角波和方波的電路便是可取的路經(jīng)之一,不用依靠單片機(jī)。但是這種電路存在波形質(zhì)量差,控制難,可調(diào)范圍小,電路復(fù)雜和體積大等缺點(diǎn)。在科學(xué)研究和生產(chǎn)實(shí)踐中,如工業(yè)過(guò)程控制,生物醫(yī)學(xué),地震模擬機(jī)械振動(dòng)等領(lǐng)域常常要用到低頻信號(hào)源。而由硬件電路構(gòu)成的低頻信號(hào)其性能難以令人滿(mǎn)意,而且由于低頻信號(hào)源所需的RC很大;大電阻,大電容在制作上有困難,參數(shù)的精度亦難以保證;體積大,漏電,損耗顯著更是致命的弱點(diǎn)。一旦工作需求功能有增加,則電路復(fù)雜程度會(huì)大大增

10、加。-0-1波形發(fā)生器概述在電子工程、通信工程、自動(dòng)控制、遙測(cè)控制、測(cè)量?jī)x器、儀表和計(jì)算機(jī)等技術(shù)領(lǐng)域,經(jīng)常需要用到各種各樣的信號(hào)波形發(fā)生器。隨著集成電路的迅速發(fā)展,用集成電路可很方便地構(gòu)成各種信號(hào)波形發(fā)生器。用集成電路實(shí)現(xiàn)的信號(hào)波形發(fā)生器與其它信號(hào)波形發(fā)生器相比,其波形質(zhì)量、幅度和頻率穩(wěn)定性等性能指標(biāo),都有了很大的提高。1.1波形發(fā)生器的發(fā)展?fàn)顩r波形發(fā)生器是能夠產(chǎn)生大量的標(biāo)準(zhǔn)信號(hào)和用戶(hù)定義信號(hào),并保證高精度、高穩(wěn)定性、可重復(fù)性和易操作性的電子儀器。函數(shù)波形發(fā)生器具有連續(xù)的相位變換、和頻率穩(wěn)定性等優(yōu)點(diǎn),不僅可以模擬各種復(fù)雜信號(hào),還可對(duì)頻率、幅值、相移、波形進(jìn)行動(dòng)態(tài)、及時(shí)的控制,并能夠與其它儀器進(jìn)

11、行通訊,組成自動(dòng)測(cè)試系統(tǒng),因此被廣泛用于自動(dòng)控制系統(tǒng)、震動(dòng)激勵(lì)、通訊和儀器儀表領(lǐng)域。在70年代前,信號(hào)發(fā)生器主要有兩類(lèi):正弦波和脈沖波,而函數(shù)發(fā)生器介于兩類(lèi)之間,能夠提供正弦波、余弦波、方波、三角波、上弦波等幾種常用標(biāo)準(zhǔn)波形,產(chǎn)生其它波形時(shí),需要采用較復(fù)雜的電路和機(jī)電結(jié)合的方法。這個(gè)時(shí)期的波形發(fā)生器多采用模擬電子技術(shù),而且模擬器件構(gòu)成的電路存在著尺寸大、價(jià)格貴、功耗大等缺點(diǎn),并且要產(chǎn)生較為復(fù)雜的信號(hào)波形,則電路結(jié)構(gòu)非常復(fù)雜。同時(shí),主要表現(xiàn)為兩個(gè)突出問(wèn)題,一是通過(guò)電位器的調(diào)節(jié)來(lái)實(shí)現(xiàn)輸出頻率的調(diào)節(jié),因此很難將頻率調(diào)到某一固定值;二是脈沖的占空比不可調(diào)節(jié)。在70年代后,微處理器的出現(xiàn),可以利用處理器

12、、A/D/和D/A,硬件和軟件使波形發(fā)生器的功能擴(kuò)大,產(chǎn)生更加復(fù)雜的波形。這時(shí)期的波形發(fā)生器多以軟件為主,實(shí)質(zhì)是采用微處理器對(duì)DAC的程序控制,就可以得到各種簡(jiǎn)單的波形。90年代末,出現(xiàn)幾種真正高性能、高價(jià)格的函數(shù)發(fā)生器、但是HP公司推出了型號(hào)為HP770S的信號(hào)模擬裝置系統(tǒng),它由HP8770A任意波形數(shù)字化和HP1776A波形發(fā)生軟件組成。HP8770A實(shí)際上也-1-只能產(chǎn)生8中波形,而且價(jià)格昂貴。不久以后,Analogic公司推出了型號(hào)為Data-2020的多波形合成器,Lecroy公司生產(chǎn)的型號(hào)為9100的任意波形發(fā)生器等。到了二十一世紀(jì),隨著集成電路技術(shù)的高速發(fā)展,出現(xiàn)了多種工作頻率可

13、過(guò)GHz的DDS芯片,同時(shí)也推動(dòng)了函數(shù)波形發(fā)生器的發(fā)展,2003年,Agilent的產(chǎn)品33220A能夠產(chǎn)生17種波形,最高頻率可達(dá)到20M,2005年的產(chǎn)品N6030A能夠產(chǎn)生高達(dá)500MHz的頻率,采樣的頻率可達(dá)1.25GHz。由上面的產(chǎn)品可以看出,函數(shù)波形發(fā)生器發(fā)展很快近幾年來(lái),國(guó)際上波形發(fā)生器技術(shù)發(fā)展主要體現(xiàn)在以下幾個(gè)方面:(1)過(guò)去由于頻率很低應(yīng)用的范圍比較狹小,輸出波形頻率的提高,使得波形發(fā)生器能應(yīng)用于越來(lái)越廣的領(lǐng)域。波形發(fā)生器軟件的開(kāi)發(fā)正使波形數(shù)據(jù)的輸入變得更加方便和容易。波形發(fā)生器通常允許用一系列的點(diǎn)、直線和固定的函數(shù)段把波形數(shù)據(jù)存入存儲(chǔ)器。同時(shí)可以利用一種強(qiáng)有力的數(shù)學(xué)方程輸入

14、方式,復(fù)雜的波形可以由幾個(gè)比較簡(jiǎn)單的公式復(fù)合成v=f(t)形式的波形方程的數(shù)學(xué)表達(dá)式產(chǎn)生。從而促進(jìn)了函數(shù)波形發(fā)生器向任意波形發(fā)生器的發(fā)展,各種計(jì)算機(jī)語(yǔ)言的飛速發(fā)展也對(duì)任意波形發(fā)生器軟件技術(shù)起到了推動(dòng)作用。目前可以利用可視化編程語(yǔ)言(如VisualBasic,VisualC等等)編寫(xiě)任意波形發(fā)生器的軟面板,這樣允許從計(jì)算機(jī)顯示屏上輸入任意波形,來(lái)實(shí)現(xiàn)波形的輸入。(2)與VXI資源結(jié)合。目前,波形發(fā)生器由獨(dú)立的臺(tái)式儀器和適用于個(gè)人計(jì)算機(jī)的插卡以及新近開(kāi)發(fā)的VXI模塊。由于VXI總線的逐漸成熟和對(duì)測(cè)量?jī)x器的高要求,在很多領(lǐng)域需要使用VXI系統(tǒng)測(cè)量產(chǎn)生復(fù)雜的波形,VXI的系統(tǒng)資源提供了明顯的優(yōu)越性,但

15、由于開(kāi)發(fā)VXI模塊的周期長(zhǎng),而且需要專(zhuān)門(mén)的VXI機(jī)箱的配套使用,使得波形發(fā)生器VXI模塊僅限于航空、軍事及國(guó)防等大型領(lǐng)域。在民用方面,VXI模塊遠(yuǎn)遠(yuǎn)不如臺(tái)式儀器更為方便。(3)隨著信息技術(shù)蓬勃發(fā)展,臺(tái)式儀器在走了一段下坡路之后,又重新繁榮起來(lái)。不過(guò)現(xiàn)在新的臺(tái)式儀器的形態(tài),和幾年前的己有很大的不同。這些新一代臺(tái)式儀器具有多種特性,可以執(zhí)行多種功能。而且外形尺寸與價(jià)格,都比過(guò)去的類(lèi)似產(chǎn)品減少了一半。-2-1.2國(guó)內(nèi)外波形發(fā)生器產(chǎn)品比較早在1978年,由美國(guó)Wavetek公司和日本東亞電波工業(yè)公司公布了最高取樣頻率為5MHz,可以形成256點(diǎn)(存儲(chǔ)長(zhǎng)度)波形數(shù)據(jù),垂直分辨率為8bit,主要用于振動(dòng)、

16、醫(yī)療、材料等領(lǐng)域的第一代高性能信號(hào)源,經(jīng)過(guò)將近30年的發(fā)展,伴隨著電子元器件、電路、及生產(chǎn)設(shè)備的高速化、高集成化,波形發(fā)生器的性能有了飛速的提高。變得操作越來(lái)越簡(jiǎn)單而輸出波形的能力越來(lái)越強(qiáng)。波形操作方法的好壞,是由波形發(fā)生器控制軟件質(zhì)量保證的,編輯功能增加的越多,波形形成的操作性越好。-3-2方案論證與比較依據(jù)應(yīng)用場(chǎng)合.需要實(shí)現(xiàn)的波形種類(lèi),波形發(fā)生器的具體指標(biāo)要求會(huì)有所不同。依據(jù)不同的設(shè)計(jì)要求選取不同的設(shè)計(jì)方案。通常,波形發(fā)生器需要實(shí)現(xiàn)的波形有正弦波、方波、三角波和鋸齒波。有些場(chǎng)合可能還需要任意波形的產(chǎn)生。各種波形共有的指標(biāo)有:波形的頻率、幅度要求,頻率穩(wěn)定度,準(zhǔn)確度等。對(duì)于不同波形,具體的指

17、標(biāo)要求也會(huì)有所差異,例如,占空比是脈沖波形特有的指標(biāo)。波形發(fā)生器的設(shè)計(jì)方案多種多樣,大致可以分為三大類(lèi):純硬件設(shè)計(jì)法、純軟件設(shè)計(jì)法和軟硬件結(jié)合設(shè)計(jì)法。2.1 方案一波形發(fā)生器設(shè)計(jì)的純硬件法早期,波形發(fā)生器的設(shè)計(jì)主要是采用運(yùn)算放大器加分立元件來(lái)實(shí)現(xiàn)。實(shí)現(xiàn)的波形比較單一,主要為正弦波、方波和三角波。工作原理喇也相對(duì)簡(jiǎn)單:首先是產(chǎn)生正弦波,然后通過(guò)波形變換(正弦波通過(guò)比較器產(chǎn)生方波,方波經(jīng)過(guò)積分器變?yōu)槿遣ǎ?shí)現(xiàn)方波和三角波。在各種波形后加上一級(jí)放大電路,可以使輸出波形的幅度達(dá)到要求,通過(guò)開(kāi)關(guān)電路實(shí)現(xiàn)不同輸出波形的切換,改變電路的具體參數(shù)可以實(shí)現(xiàn)頻率、幅度和占空比的改變。通過(guò)對(duì)電路結(jié)構(gòu)的優(yōu)化及所用元

18、器件的嚴(yán)格選取可以提高電路的頻率穩(wěn)定性和準(zhǔn)確度。純硬件法中,正弦波的設(shè)計(jì)是基礎(chǔ),實(shí)現(xiàn)方法也比較多,電路形式一般有LC、RC和石英晶體振蕩器三類(lèi)。LC振蕩器適宜于產(chǎn)生幾Hz至幾百M(fèi)Hz的高頻信號(hào);石英晶體振蕩器能產(chǎn)生幾百kHz至幾十MHz的高頻信號(hào)且穩(wěn)定度高;對(duì)于頻率低于幾MHz,特別是在幾百Hz時(shí),常采用RC振蕩電路。RC振蕩電路又分為文氏橋振蕩電路、雙T網(wǎng)絡(luò)式和移相式振蕩電路等類(lèi)型。其中,以文氏橋振蕩電路最為常用。目前,實(shí)現(xiàn)波形發(fā)生器最簡(jiǎn)單的方法是采用單片集成的函數(shù)信號(hào)發(fā)生器。它是將產(chǎn)生各種波形的功能電路集成優(yōu)化到一個(gè)集成電路芯片里,外加少量的電阻、電容元件來(lái)實(shí)現(xiàn)。采用這種方法的突出優(yōu)勢(shì)是電

19、路簡(jiǎn)單,實(shí)現(xiàn)方便,精度高,性能優(yōu)越;缺點(diǎn)是功能較全的集-4-成芯片價(jià)格較貴。實(shí)際中應(yīng)用較多的單片函數(shù)信號(hào)發(fā)生器有MAX038(最高頻率可達(dá)40MHz)和ICL8038(最高頻率為300kHz)。2.2 方案二波形發(fā)生器設(shè)計(jì)的純軟件法波形發(fā)生器的設(shè)計(jì)還可以采用純軟件的方法來(lái)實(shí)現(xiàn)。虛擬儀器鞠使傳統(tǒng)儀器發(fā)生了革命性的變化,是21世紀(jì)測(cè)試儀器領(lǐng)域技術(shù)發(fā)展的重要方向。它以計(jì)算機(jī)為基礎(chǔ),軟件為核心,沒(méi)有傳統(tǒng)儀器那樣具體的物理結(jié)構(gòu).在計(jì)算機(jī)上實(shí)現(xiàn)儀器的虛擬面板,通過(guò)軟件設(shè)計(jì)實(shí)現(xiàn)和改變儀器的功能。例如用圖形化編程工具LabVIEW來(lái)實(shí)現(xiàn)任意波形發(fā)生器的功能:在LabVIEW軟件的前面板通過(guò)拖放控件,設(shè)計(jì)儀器的

20、功能面板(如波形顯示窗口,波形選擇按鍵,波形存儲(chǔ)回放等工作界面),在軟件的后面板直接拖放相應(yīng)的波形函數(shù)并進(jìn)行參數(shù)設(shè)置或直接調(diào)用編程函數(shù)來(lái)設(shè)計(jì)任意波形以實(shí)現(xiàn)波形產(chǎn)生功能;完成的軟件打包后,可脫離編程環(huán)境獨(dú)立運(yùn)行。實(shí)現(xiàn)任意波形發(fā)生器的功能。采用純軟件的虛擬儀器設(shè)計(jì)思路可以使設(shè)計(jì)簡(jiǎn)單、高效,僅改變軟件程序就可以輕松實(shí)現(xiàn)波形功能的改變或升級(jí)。從長(zhǎng)遠(yuǎn)角度來(lái)看,純軟件法成本較低。軟件法的缺點(diǎn)是波形的響應(yīng)速度和精度遜色于硬件法。2.3 方案三1 .軟硬件結(jié)合法軟硬件結(jié)合的波形發(fā)生器設(shè)計(jì)方法同時(shí)兼具軟硬件設(shè)計(jì)的優(yōu)勢(shì):既具有純硬件設(shè)計(jì)的快速、高性能,同時(shí)又具有軟件控制的靈活性、智能性。如以單片機(jī)和單片集成函數(shù)發(fā)

21、生器為核心(如圖2.2)。輔以鍵盤(pán)控制、液晶顯示等電路,設(shè)計(jì)出智能型函數(shù)波形發(fā)生器,采用軟硬件結(jié)合的方法可以實(shí)現(xiàn)功能較全、性能更優(yōu)的波形發(fā)生器,同時(shí)還可以擴(kuò)展波形發(fā)生器的功能,比如通過(guò)軟件編程控制實(shí)現(xiàn)波形的存儲(chǔ)、運(yùn)算、打印等功能,采用USB接口設(shè)計(jì)。使波形發(fā)生器具有遠(yuǎn)程通信功能等。目前,實(shí)驗(yàn)、科研和工業(yè)生產(chǎn)中使用的信號(hào)源大多采用此方法來(lái)實(shí)現(xiàn)。-5-圖2.2軟硬件結(jié)合的波形發(fā)生器2 .純硬件設(shè)計(jì)法功能較單一,波形改變困難、控制的靈活性不夠,不具備智能性,其中由運(yùn)算放大器加分立元件組成的波形發(fā)生器,除在學(xué)生實(shí)驗(yàn)訓(xùn)練中使用外?;静槐徊捎谩<冘浖O(shè)計(jì)法實(shí)現(xiàn)簡(jiǎn)單,程序改變及功能升級(jí)靈活,但實(shí)現(xiàn)的波形精

22、度及響應(yīng)速度不如硬件法高。純軟件法主要適用于對(duì)波形精度、響應(yīng)速度要求不是很高的場(chǎng)合。相比之下,軟硬件結(jié)合的方法可以設(shè)計(jì)出性能最優(yōu)、功能擴(kuò)展靈活、控制智能化的新一代的波形發(fā)生器,可以滿(mǎn)足教學(xué)、科研、工業(yè)生產(chǎn)等各方面對(duì)波形發(fā)生器性能有較高要求的應(yīng)用場(chǎng)合。綜合以上幾種設(shè)計(jì)方案,本設(shè)計(jì)采用方案三的方法一軟硬件設(shè)計(jì)法。其方案能夠產(chǎn)生很好的波形,也易實(shí)現(xiàn)。-6-3硬件原理波形的產(chǎn)生是通過(guò)AT89S52I片機(jī)執(zhí)行某一波形發(fā)生程序,向D/A轉(zhuǎn)換器的輸入端按一定的規(guī)律發(fā)生數(shù)據(jù),從而在D/A轉(zhuǎn)換電路的輸出端得到相應(yīng)的電壓波形。AT89S52單片機(jī)的最小系統(tǒng)有三種聯(lián)接方式。一種是兩級(jí)緩沖器型,即輸入數(shù)據(jù)經(jīng)過(guò)兩級(jí)緩沖

23、器型,即輸入數(shù)據(jù)經(jīng)過(guò)兩級(jí)緩沖器后,送D/A轉(zhuǎn)換電路。第二種是單級(jí)緩沖器型,輸入數(shù)據(jù)經(jīng)輸入寄存器直接送入DACJ存器,然后送D/A轉(zhuǎn)換電路。第三種是兩個(gè)緩沖器直通,輸入數(shù)據(jù)直接送D/A轉(zhuǎn)換電路進(jìn)行轉(zhuǎn)換。本電路仿真的總圖如下:圖3.1系統(tǒng)電路圖3.1 MCS-51單片機(jī)的內(nèi)部結(jié)構(gòu)3.1.1 內(nèi)部結(jié)構(gòu)概述典型的MCS-51單片機(jī)芯片集成了以下幾個(gè)基本組成部分。1 一個(gè)8位的CPU-7-2128B或256B單元內(nèi)數(shù)據(jù)存儲(chǔ)器(RAM)34KB或8KB片內(nèi)程序存儲(chǔ)器(ROM或EPROM)44個(gè)8位并行I/O接口P0P3o5兩個(gè)定時(shí)/計(jì)數(shù)器。6 5個(gè)中斷源的中斷管理控制系統(tǒng)。7 一個(gè)全雙工串行I/O口UAR

24、T(通用異步接收、發(fā)送器)8 一個(gè)片內(nèi)振蕩器和時(shí)鐘產(chǎn)生電路。T2/P1.0CT2EX/PI.1CPl.2CPl.3EPl.4CXDSI/Pi.5CMISOZP1.SCSCK/P1.7CRST匚RXD/P3.0匚TXD/F3,1Cnno/P3,2cnfTT/P3+3匚T0/P3YCTJ./P3.5C釀F3.6匚RDZP3,T匚XTAL2CXTAL1CPDIP即t012345678901234-56T89111111111-2O98763432IU98T65432I4332313333322222222223Vee3PO.O/ADO3PO.iADl3PO.2/AD2PO.3/AD3JPO.4ZAD

25、43PO.5/AD5P0,6/AD6 PO7ZAD7JEA/VPP3ALEZPRDt PESN P2.7/A153P2.&/A143P2.5/A13P2.4/A123P2.3ZA113P2.2ZA1O3P2.IZAS3?2.O,A8圖3.2單片機(jī)引腳3.1.2 CPU結(jié)構(gòu)CPU是單片機(jī)的核心部件。它由運(yùn)算器和控制器等部件組成。1 .運(yùn)算器運(yùn)算器以完成二進(jìn)制的算術(shù)/邏輯運(yùn)算部件ALU為核心。它可以對(duì)半字節(jié)(4)、單字節(jié)等數(shù)據(jù)進(jìn)行操作。例如,能完成加、減、乘、除、加1、減1、BCD碼十進(jìn)制調(diào)整、比較等算術(shù)運(yùn)算,完成與、或、異或、求反、循環(huán)等邏操作,操作結(jié)果的狀態(tài)信息送至狀態(tài)寄存器。運(yùn)算器還

26、包含有一個(gè)布爾處理器,用以處理位操作。它以進(jìn)位標(biāo)志位C為累加器,可執(zhí)行置位、復(fù)位、取反、位判斷轉(zhuǎn)移,可在進(jìn)位-8-標(biāo)志位與其他可位尋址的位之間進(jìn)行位數(shù)據(jù)傳誦等操作,還可以完成進(jìn)位標(biāo)志位與其他可位尋址的位之間進(jìn)行邏輯與、或操作。2 .程序計(jì)數(shù)器PCPC是一個(gè)16位的計(jì)數(shù)器,用于存放一條要執(zhí)行的指令地址,尋址范圍為64kB,PC有自動(dòng)加1功能,即完成了一條指令的執(zhí)行后,其內(nèi)容自動(dòng)加1。3 .指令寄存器指令寄存器用于存放指令代碼。CPU執(zhí)行指令時(shí),由程序存儲(chǔ)器中讀取的指令代碼送如指令寄存器,經(jīng)指令譯碼器譯碼后由定時(shí)有控制電路發(fā)出相應(yīng)的控制信號(hào),完成指令功能。3.1.3 存儲(chǔ)器和特殊功能寄存器1 .存

27、儲(chǔ)器(Memory)是計(jì)算機(jī)系統(tǒng)中的記憶設(shè)備,用來(lái)存放程序和數(shù)據(jù)。計(jì)算機(jī)中的全部信息,包括輸入的原始數(shù)據(jù)、計(jì)算機(jī)程序、中間運(yùn)行結(jié)果和最終運(yùn)行結(jié)果都保存在存儲(chǔ)器中。它根據(jù)控制器指定的位置存入和取出信息。2 .特殊功能寄存器特殊功能寄存器(SFR)的地址范圍為80HFFH。在MCS51中,除程序計(jì)數(shù)器PC和四個(gè)工作寄存器區(qū)外,其余21個(gè)特殊功能寄存器都在這SFR塊中。其中5個(gè)是雙字節(jié)寄存器,它們共占用了26個(gè)字節(jié)。各特殊功能寄存器的符號(hào)和地址見(jiàn)附表2。其中帶*號(hào)的可位尋址。特殊功能寄存器反映了8051的狀態(tài),實(shí)際上是8051的狀態(tài)字及控制字寄存器。用于CPUPSW便是典型一例。這些特殊功能寄存器大

28、體上分為兩類(lèi),一類(lèi)與芯片的引腳有關(guān),另一類(lèi)作片內(nèi)功能的控制用。與芯片引腳有關(guān)的特殊功能寄存器是P0P3,它們實(shí)際上是4個(gè)八位鎖存器(每個(gè)I/O一個(gè)),每個(gè)鎖存器附加有相應(yīng)的輸出驅(qū)動(dòng)器和輸入緩沖器就構(gòu)成了一個(gè)并行口。MCS51共有P0P3四個(gè)這樣的并行口,可提供32根I/O線,每根線都是雙向的,并且大都有第二功能。其余用于芯片控制的寄存器中,累加器A、標(biāo)志寄存器PSW、數(shù)據(jù)指針DPTR等的功能前已提及。-9-3.2P0-P3口結(jié)構(gòu)P0口功能:P0具有兩種功能:第一,P0可以作為通用I/O接使用,P0.7P0.0用于傳送CPU的輸入/輸出數(shù)據(jù)。輸出數(shù)據(jù)時(shí)可以得到鎖存,不需外接專(zhuān)用鎖存器,輸入數(shù)據(jù)可

29、以得到緩沖。第二,P0.7-P0.0在CPU訪問(wèn)片外存儲(chǔ)器時(shí)用于傳送片外存儲(chǔ)器de低8位地址,然后傳送CPU對(duì)片外存儲(chǔ)器的讀寫(xiě)P1口功能:P1的功能和P0口de第一功能相同,僅用于傳遞I/O輸入/輸出數(shù)據(jù)。P2口的功能:2口的第一功能和上述兩組引腳的第一功能相同,即它可以作為通用I/O使用。它的第二功能和P0口引腳的第二功能相配合,作為地址總線用于輸出片外存儲(chǔ)器的高8位地址。P3口功能:P3有兩個(gè)功能:第一功能與其余三個(gè)端口的第一功能相同;第二功能作控制用,每個(gè)引腳都不同。P3.0-RXD串行數(shù)據(jù)接收口P3.1-TXD串行數(shù)據(jù)發(fā)送口P3.2INT0外中斷0輸入P3.3INT1外中斷1輸入P3.

30、4-T0計(jì)數(shù)器0計(jì)數(shù)輸入P3.5-T1計(jì)數(shù)器1計(jì)數(shù)輸入P3.6WR外部RAM寫(xiě)選通信號(hào)P3.7-RD外部RAM讀選通信號(hào)3.3時(shí)鐘電路和復(fù)位電路單片機(jī)的時(shí)鐘信號(hào)用來(lái)提供單片機(jī)內(nèi)各種微操作的時(shí)間基準(zhǔn);復(fù)位操作則使單片機(jī)的片內(nèi)電路初始化,使單片機(jī)從一種確定的狀態(tài)開(kāi)始運(yùn)行。3.3.1 時(shí)鐘電路單片機(jī)的時(shí)鐘信號(hào)通常用兩種電路形式得到:內(nèi)部振蕩和外部振湯方式。-10-圖3.3時(shí)鐘部分電路圖在引腳XTAL1和XTAL2外接晶體振蕩器或陶瓷諧振蕩器,構(gòu)成了內(nèi)部振蕩方式。由于單片機(jī)內(nèi)部有一個(gè)高增益反相放大器,當(dāng)外接晶振后,就構(gòu)成了自積振蕩,并產(chǎn)生振蕩時(shí)鐘脈沖。晶振通常選用6MHZ、12MHZ、或24MHz。單

31、片機(jī)的時(shí)序單位振蕩周期:晶振的振蕩周期,又稱(chēng)時(shí)鐘周期,為最小的時(shí)序單位。狀態(tài)周期:振蕩頻率經(jīng)單片機(jī)內(nèi)的二分頻器分頻后提供給片內(nèi)CPU的時(shí)鐘周期。因此一個(gè)狀態(tài)周期包含2個(gè)振蕩周期。機(jī)器周期:1個(gè)機(jī)器周期由6個(gè)狀態(tài)周期12個(gè)振蕩周期組成,是計(jì)算機(jī)執(zhí)行一種基本操作的時(shí)間單位。指令周期:執(zhí)行一條指令所需的時(shí)間。一個(gè)指令周期由1-4個(gè)機(jī)器周期組成,依據(jù)指令不同而不同.3.3.2 單片機(jī)的復(fù)位狀態(tài)當(dāng)MCS-51系列單片機(jī)的復(fù)位引腳RST(全稱(chēng)RESET)出現(xiàn)2個(gè)機(jī)器周期以上的高電平時(shí),根據(jù)應(yīng)用的要求,復(fù)位操作通常有兩種基本形式:上電復(fù)位和上電或開(kāi)關(guān)復(fù)位。上電復(fù)位要求接通電源后,自動(dòng)實(shí)現(xiàn)復(fù)位操作。上電或開(kāi)關(guān)

32、復(fù)位要求電源接通后,單片機(jī)自動(dòng)復(fù)位,并且在單片機(jī)運(yùn)行期間,用開(kāi)關(guān)操作也能使單片機(jī)復(fù)位。上電后,由于電容C3的充電和反相門(mén)的作用,使RST持續(xù)一段時(shí)間的高電平。當(dāng)單片機(jī)已在運(yùn)行當(dāng)中時(shí),按下復(fù)位鍵K后松開(kāi),也能使RST為一段時(shí)間的高電平,從而實(shí)現(xiàn)上電或開(kāi)關(guān)復(fù)位的操作。-11-vcc圖3.4復(fù)位電路單片機(jī)的復(fù)位操作使單片機(jī)進(jìn)入初始化狀態(tài),其中包括使程序計(jì)數(shù)器PC=0000H,這表明程序從0000H地址單元開(kāi)始執(zhí)行。單片機(jī)冷啟動(dòng)后,片內(nèi)RAM為隨機(jī)值,運(yùn)行中的復(fù)位操作不改變片內(nèi)RAM區(qū)中的內(nèi)容,21個(gè)特殊功能寄存器復(fù)位后的狀態(tài)為確定值統(tǒng)復(fù)位是任何微機(jī)系統(tǒng)執(zhí)行的第一步,使整個(gè)控制芯片回到默認(rèn)的硬件狀態(tài)下

33、。51單片機(jī)的復(fù)位是由RESET弓|腳來(lái)控制的,此引腳與高電平相接超過(guò)24個(gè)振蕩周期后,51單片機(jī)即進(jìn)入芯片內(nèi)部復(fù)位狀態(tài),而且一直在此狀態(tài)下等待,直到RESET引腳轉(zhuǎn)為低電平后,才檢查EA引腳是高電平或低電平,若為高電平則執(zhí)行芯片內(nèi)部的程序代碼,若為低電平便會(huì)執(zhí)行外部程序。51單片機(jī)在系統(tǒng)復(fù)位時(shí),將其內(nèi)部的一些重要寄存器設(shè)置為特定的值,至于內(nèi)部RAM內(nèi)部的數(shù)據(jù)則不變。3.4DAC0832的引腳及功能1 DAC0832芯片:DAC0832是8分辨率的D/A轉(zhuǎn)換集成芯片。與微處理器完全兼容。這個(gè)DA芯片以其價(jià)格低廉、接口簡(jiǎn)單、轉(zhuǎn)換控制容易等優(yōu)點(diǎn),在單片機(jī)應(yīng)用系統(tǒng)中得到廣泛的應(yīng)用。D/A轉(zhuǎn)換器由8位

34、輸入鎖存器、8位DAC寄存器、8位D/A轉(zhuǎn)換電路及轉(zhuǎn)換控制電路構(gòu)成。2 DAC0832的主要特性參數(shù)如下:分辨率為8位;電流穩(wěn)定時(shí)間1us;可單緩沖、雙緩沖或直接數(shù)字輸入;只需在滿(mǎn)量程下調(diào)整其線性度;單一電源供電(+5V+15V);-12-低功耗,200mW。3 DAC0832結(jié)構(gòu):D0D7:8位數(shù)據(jù)輸入線,TTL電平,有效時(shí)間應(yīng)大于90ns(否則鎖存器的數(shù)據(jù)會(huì)出錯(cuò));ILE:數(shù)據(jù)鎖存允許控制信號(hào)輸入線,高電平有效;CS:片選信號(hào)輸入線(選通數(shù)據(jù)鎖存器),低電平有效;WR1:數(shù)據(jù)鎖存器寫(xiě)選通輸入線,負(fù)脈沖(脈寬應(yīng)大于500nS有效。由ILE、CS、WR1的邏輯組合產(chǎn)生LE1,當(dāng)LE1為高電平時(shí)

35、,數(shù)據(jù)鎖存器狀態(tài)隨輸入數(shù)據(jù)線變換,LE1的負(fù)跳變時(shí)將輸入數(shù)據(jù)鎖存;XFER:數(shù)據(jù)傳輸控制信號(hào)輸入線,低電平有效,負(fù)脈沖(脈寬應(yīng)大于500ns)有效;WR2:DAC寄存器選通輸入線,負(fù)脈沖(脈寬應(yīng)大于500ns)有效。由WR1、XFER的邏輯組合產(chǎn)生LE2,當(dāng)LE2為高電平時(shí),DAC寄存器的輸出隨寄存器的輸入而變化,LE2的負(fù)跳變時(shí)將數(shù)據(jù)鎖存器的內(nèi)容打入DAC寄存器并開(kāi)始D/A轉(zhuǎn)換。IOUT1:電流輸出端1,其值隨DAC寄存器的內(nèi)容線性變化;IOUT2:電流輸出端2,其值與IOUT1值之和為一常數(shù);Rfb:反饋信號(hào)輸入線,改變Rfb端外接電阻值可調(diào)整轉(zhuǎn)換滿(mǎn)量程精度;Vcc:電源輸入端,Vcc的范

36、圍為+5V+15V;VREF:基準(zhǔn)電壓輸入線,VREF的范圍為-10V+10V;AGND:模擬信號(hào)地DGND:數(shù)字信號(hào)地4 DAC0832的工作方式:根據(jù)對(duì)DAC0832的數(shù)據(jù)鎖存器和DAC寄存器的不同的控制方式,DAC0832有三種工作方式:直通方式、單緩沖方式和雙緩沖方式-13-4軟件原理系統(tǒng)軟件由主程序和產(chǎn)生波形的子程序組成,軟件設(shè)計(jì)主要是產(chǎn)生各種波形的子程序的編程,通過(guò)編程可得到各種波形。周期的改變可采用插入延時(shí)子程序的方法來(lái)實(shí)現(xiàn)。主程序和幾種常用波形子程序的流程圖如圖所示。4.1 主流程圖讀取泳形選驅(qū)動(dòng)相%信號(hào)的產(chǎn)生:利用8位D/A轉(zhuǎn)換器輸出。數(shù)字量輸入的范圍為圖4.1主程序流程圖D

37、AC0808,可以將8位數(shù)字量轉(zhuǎn)換成模擬量0255,對(duì)應(yīng)的模擬量輸出的范圍在VREF-到VREF+之間。根據(jù)這一特性,可以利用單片機(jī)的并行口輸出的數(shù)字量,產(chǎn)生常用的波形。例如,要產(chǎn)生幅度為05V的鋸齒波,只要-14-將DAC0808的VREF-接地,VREF+接+5V,單片機(jī)的并行口首先輸出00H,再輸出01H、02H,直到輸出FFH,再輸出00H,依此循環(huán),這樣在圖4.2所示的Vout端就可以看到在0到5V之間變化的鋸齒波。4.2 鋸齒波仿真圖圖4.2鋸齒波仿真鋸齒波產(chǎn)生是通過(guò)P0口將00H送入寄存器A中,DAC0832輸出A中的內(nèi)容,讀取P2的狀態(tài),取反后作為延時(shí)常數(shù),當(dāng)A中的內(nèi)容不為0F

38、FH時(shí),A中的內(nèi)容加1,當(dāng)A中的內(nèi)容等于FFH返回開(kāi)始,從而輸出波形。鋸齒波程序voidjuchi()(uinti;i=0;while(1)(P0=i;delay(t);i=i+1;P0=i;delay(t);xianshiqi(t);if(i=256)-15-(i=0;if(s5=0)(t=t+1;if(s6=0)(t=t-1;4.3 三角波仿真圖圖4.3三角波仿真三角波產(chǎn)生是通過(guò)P0口將00H送入寄存器A中,DAC0832俞出A中的內(nèi)容,通過(guò)A中數(shù)值的加1遞升,同時(shí)延時(shí),當(dāng)A中的內(nèi)容為0FF時(shí),A中的內(nèi)容減1遞減,從而循環(huán)產(chǎn)生三角波。三角波程序如下:voidsanjiaobo()(-16-uintj=0;while(1)(P0=j;delay(t);j=j+1;P0=j;delay(t);xianshiqi(t);if(j=256)(if(j!=0)(j=j-1;P0=j;delay(t);xianshiqi(t);if(s5=0)(t=t+1;if(s6=0)(t=t-1;if(s5=0)(t=t+1;if(s6=0)(t=t-1;-17-4.4方波仿真圖圖4.4方波的仿真方波產(chǎn)生是通過(guò)P0口將00H輸出給DAC0808,輸出對(duì)應(yīng)模擬量,然后讀取P2的狀態(tài),取反后作為延時(shí)

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論