數字電子線路基礎:3-1Quartus II軟件使用及門電路實驗_第1頁
數字電子線路基礎:3-1Quartus II軟件使用及門電路實驗_第2頁
數字電子線路基礎:3-1Quartus II軟件使用及門電路實驗_第3頁
數字電子線路基礎:3-1Quartus II軟件使用及門電路實驗_第4頁
數字電子線路基礎:3-1Quartus II軟件使用及門電路實驗_第5頁
已閱讀5頁,還剩7頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、3.1 Quartus II軟件使用及門電路實驗1 實驗目的(1)通過實驗學習Quartus II軟件的使用方法。(2)通過實驗學習FPGA工程的實驗方法。(3)學習第一個FPGA工程。2 實驗儀器設備(1)FPGA開發(fā)實驗箱。(2)數字萬用表。(3)電腦。3 預習(1)復習FPGA開發(fā)有關的流程。(2)復習Verilog HDL語言語法。(3)復習實驗所用的相關原理。(4)按要求編寫實驗中要求的硬件描述語言程序。4 實驗內容(1)Quartus II軟件的使用與點亮LED燈。雙擊桌面的,打開Quartus II 軟件。 打開后會出現下圖提示,由于是第一次創(chuàng)建工程,所以直接關閉(也可以點擊,直

2、接跳到第4步。首次使用軟件建議直接關閉)1 關閉后出現Quartus II 主窗口如下圖所示。 2 新建一個工程,點擊FileàNew Project Wizard。1 彈出如下窗口。1是工程存放的文件夾路徑,注意不能有中文。2是自定義的工程名,輸入的時候3也會同時被輸入。輸入完畢后,點擊“Next”。2 彈出如下窗口,什么都不用填,直接點擊“Next”。3 彈出如下器件選擇窗口。根據我們試驗箱的器件型號,我們在(1)處選擇 CycloneIV E,在(2)處選擇EP4CE6E22C8。選擇完畢后點擊“Next”。1 彈出如下窗口,直接點擊“Next”。2 彈出如下窗口,可檢查剛才的

3、輸入是否有錯誤,沒有的話直接點擊“Finish”。這樣,我們就新建完成了一個工程,相比于初始界面,有工程的界面會有一些變化,如方框所示。3 接下來可以進行編程。點擊FileàNew,或者直接點擊,彈出如下窗口。我們使用的是Verilog語言,所以點選“Verilog HDL File”,然后點擊“OK”。11.當原本灰色的區(qū)域變成了白色的文本框時,就可以在這里編寫程序了。12我們在文本框中輸入一個簡單的程序,該程序實現當按鍵S1按下時,led1亮。13程序輸入完畢后,點擊“Processing”à“Start Compilation”, 或者直接點擊,運行程序。等待一段時間

4、,如果程序沒有出錯,會彈出成功提示對話框,點擊“OK”。14查看引腳分配,點擊“Assignment”à“Pin planner”。15彈出窗口如下圖所示,可以看到key鏈接在芯片的1腳,led1鏈接在芯片的3腳(此時也可以根據需要點擊location自行分配引腳)。16下載程序到芯片。點擊“Tools”à“Programmer”,彈出如下窗口。17如果(1)處沒有出現“USB-Blaster USB-0”,則按如下順序操作,有則忽略這步。18點擊“Add File”, 按如下步驟選擇文件。19選擇完畢后,點擊Start,等待程序下載完畢。20參考實驗箱器件引腳圖,我們把需

5、要連接的引腳接上。需要連接的引腳是第15步所分配的IC引腳,將按鍵變量對應的IC端口和實驗箱提供的按鍵連接起來(任選一個按鍵),將LED變量對應的IC端口和實驗箱提供的LED連接起來(任選一個)。對應的連接端口參考以下幾個電路圖。(注意:JTAG接口不支持熱拔插,請避免在芯片通電的情況下插拔接口,以免燒壞芯片。引腳接口可以直接插拔。)時鐘信號區(qū):CLK0為90腳,CLK1為23腳。實驗開發(fā)平臺模塊電路引出接口定義LED數碼管顯示開關量輸入模塊二電路(C2區(qū))21連線完畢后觀察按鍵控制的LED燈點亮和熄滅的情況,理解程序的含義,修改程序,看看是否有不需要的部分,將其簡化,或者是換一種描述方式,采用組合電路的描述方法。編寫對應程序并上交。(注意:JTAG接口不支持熱插拔,請避免在芯片通電的情況下插拔接口,以免燒壞芯片。引腳接口可以直接插拔。)5 思考題(1)一個基本的Verilog HDL語言描述的硬件電路的模塊包括哪些必要因素?(2)描述建立一個大規(guī)模邏輯設計FPGA工程的要點。(3)總結本次實驗的心得。附錄1:點亮LED燈參考程序module led1(clk,rst_n,led1,key);input key;input clk;input rst_n;output led1;reg led1;a

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論