基于matlab的FIR濾波器設(shè)計(jì)_第1頁
基于matlab的FIR濾波器設(shè)計(jì)_第2頁
基于matlab的FIR濾波器設(shè)計(jì)_第3頁
基于matlab的FIR濾波器設(shè)計(jì)_第4頁
基于matlab的FIR濾波器設(shè)計(jì)_第5頁
已閱讀5頁,還剩61頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、摘 要本文分析了國內(nèi)外數(shù)字濾波技術(shù)的應(yīng)用現(xiàn)狀與發(fā)展趨勢(shì),介紹了數(shù)字濾波器的基本結(jié)構(gòu),在分別討論了IIR與FIR數(shù)字濾波器的設(shè)計(jì)方法的基礎(chǔ)上,分析二者的優(yōu)缺點(diǎn)并重點(diǎn)介紹了基于matlab的FIR(有限長沖激響應(yīng))數(shù)字濾波器的三種設(shè)計(jì)方法。本文采用窗函數(shù)法,頻率采樣法和最優(yōu)等波紋線性相位法,通過調(diào)用Matlab函數(shù)設(shè)計(jì)FIR數(shù)字濾波器,并繪制對(duì)應(yīng)的幅頻特性曲線。最后,運(yùn)用三種濾波器對(duì)噪聲信號(hào)進(jìn)行濾波處理,通過分析濾波前后信號(hào)頻譜的變化,驗(yàn)證三種濾波器的效果。關(guān)鍵詞:數(shù)字濾波器,窗函數(shù)法,頻率采樣法,最優(yōu)化設(shè)計(jì)法,幅頻特性曲線,驗(yàn)證61目 錄ABSTRACTThis paper analyzes t

2、he present situation of the application of digital filtering technology at home and abroad and the development trend, introduces the basic structure of the digital filter, respectively in IIR and FIR digital filter design method is discussed, on the basis of analysis the advantages and disadvantages

3、 of both and introduced based on the matlab the FIR (finite impulse response) digital filter of the three design methods. Window function method in this paper, the frequency sampling method and optimization design method, by calling Matlab function to design FIR digital filter, and draw the correspo

4、nding amplitude-frequency characteristic curve. In the end, using three kinds of filter to filter the noise signal, through the analysis of the change of signal spectrum before and after filtering, the validation of three kinds of filter effect.Key words: digital filter and window function method an

5、d frequency sampling method, the optimization design method, the amplitude-frequency characteristic curve, and validation.目錄目 錄第1章 引 言11.1濾波器的概念11.2濾波器的發(fā)展過程11.3濾波器的分類21.4 數(shù)字濾波器的研究背景與意義31.5 數(shù)字濾波器的現(xiàn)實(shí)運(yùn)用及發(fā)展趨勢(shì)41.6 數(shù)字濾波器的簡介61.6.1 IIR數(shù)字濾波器71.6.2 FIR數(shù)字濾波器71.6.3 IIR與FIR數(shù)字濾波器的優(yōu)缺點(diǎn)10第2章 FIR濾波器的設(shè)計(jì)112.1窗函數(shù)法設(shè)計(jì)FIR濾

6、波器112.1.1 窗函數(shù)法的設(shè)計(jì)思路112.1.3 幾種常用的窗口函數(shù)的討論、比較132.2頻率采樣設(shè)計(jì)法FIR數(shù)字濾波器152.2.1 頻率采樣法的設(shè)計(jì)思路152.2.1 四中類型的線性相位FIR數(shù)字濾波器172.2.3 FIR數(shù)字濾波器的改進(jìn)182.3 最優(yōu)等波紋線性相位法設(shè)計(jì)FIR濾波器18第3章 Matlab簡介203.1 matlab產(chǎn)生的歷史背景203.2 matlab的語言特點(diǎn)213.2 matlab的功能233.3 matlab的優(yōu)勢(shì)25第4章 利用matlab實(shí)現(xiàn)FIR濾波器設(shè)計(jì)274.1 窗函數(shù)法的matlab實(shí)現(xiàn)274.1.1 kaiserord及fir1函數(shù)介紹274

7、.1.2 兩種濾波器的窗函數(shù)設(shè)計(jì)284.2 頻率采樣法的Matlab實(shí)現(xiàn)324.2.1 fir2函數(shù)介紹324.2.2 兩種濾波器的頻率采樣法設(shè)計(jì)324.3 最優(yōu)等波紋線性相位FIR濾波器的Matlab實(shí)現(xiàn)354.3.1 Firpm函數(shù)介紹364.3.2 最優(yōu)等波紋線性相位FIR低通濾波器37第5章 結(jié)論395.1 對(duì)本文工作的總結(jié)395.2 本文存在的問題405.3 對(duì)今后工作學(xué)習(xí)的展望40參考文獻(xiàn)41致謝42附錄43附錄一:利用Kaiser窗設(shè)計(jì)低通濾波器的程序43附錄二:利用Hamming窗設(shè)計(jì)帶通濾波器的程序44附錄三:采用II型線性相位,頻率采樣濾波器設(shè)計(jì)的低通濾波器46附錄四:頻率

8、采樣濾波器設(shè)計(jì)的高通濾波器47附錄五:最優(yōu)等波紋線性相位FIR低通濾波器48外文資料原文50譯文56第1章 引 言第1章 引 言1.1濾波器的概念濾波器是一種用來消除干擾雜訊的器件,將輸入或輸出經(jīng)過過濾而得到純凈的交流電。您可以通過基本的濾波器積木塊-二階通用濾波器傳遞函數(shù),推導(dǎo)出最通用的濾波器類型:低通、帶通、高通、帯阻濾波器。傳遞函數(shù)的參數(shù) 、 、 、和 ,可用來構(gòu)造所有類型的濾波器。轉(zhuǎn)降頻率 為s項(xiàng)開始占支配作用時(shí)的頻率。設(shè)計(jì)者將低于此值的頻率看作是低頻,而將高于此值的頻率看作是高頻,并將在此值附近的頻率看作是帶內(nèi)頻率。阻尼 用于測量濾波器如何從低頻率轉(zhuǎn)變至高頻率,它是濾波器趨向振蕩的一

9、個(gè)指標(biāo)。實(shí)際阻尼值從0至2變化。高通系數(shù) 是對(duì)那些高于轉(zhuǎn)降頻率的頻率起支配作用的分子的系數(shù)。帶通系數(shù) 是對(duì)那些在轉(zhuǎn)降頻率附近的頻率起支配作用的分子的系數(shù)。低通系數(shù) 是對(duì)那些低于轉(zhuǎn)降頻率的頻率起支配作用的分子的系數(shù)。設(shè)計(jì)者只需這5個(gè)參數(shù)即可定義一個(gè)濾波器。1.2濾波器的發(fā)展過程凡是有能力進(jìn)行信號(hào)處理的裝置都可以稱為濾波器。在近代電信裝備和各類控制系統(tǒng)中,濾波器應(yīng)用極為廣泛;在所有的電子部件中,使用最多,技術(shù)最復(fù)雜要算濾波器了。濾波器的優(yōu)劣直接決定產(chǎn)品的優(yōu)劣,所以,對(duì)濾波器的研究和生產(chǎn)歷來為各國所重視。1917年美國和德國科學(xué)家分別發(fā)明了LC濾波器,次年導(dǎo)致了美國第一個(gè)多路復(fù)用系統(tǒng)的出現(xiàn)。50年代

10、無源濾波器日趨成熟。自60年代起由于計(jì)算機(jī)技術(shù)、集成工藝和材料工業(yè)的發(fā)展,濾波器發(fā)展上了一個(gè)新臺(tái)階,并且朝著低功耗、高精度、小體積、多功能、穩(wěn)定可靠和價(jià)廉方向努力,其中小體積、多功能、高精度、穩(wěn)定可靠成為70年代以后的主攻方向,導(dǎo)致RC有源濾波器 、數(shù)字濾波器、開關(guān)電容濾波器和電荷轉(zhuǎn)移器等各種濾波器的飛速發(fā)展。到70年代后期,上述幾種濾波器的單片集成被研制出來并得到應(yīng)用。80年代致力于各類新型濾波器性能提高的研究并逐漸擴(kuò)大應(yīng)用范圍。90年代至今在主要致力于把各類濾波器應(yīng)用于各類產(chǎn)品的開發(fā)和研制。當(dāng)然,對(duì)濾波器本身的研究仍在不斷進(jìn)行。我國廣泛使用濾波器是50年代后的事,當(dāng)時(shí)主要用于話路濾波和報(bào)路

11、濾波。經(jīng)過半個(gè)世紀(jì)的發(fā)展,我國濾波器在研制、生產(chǎn)應(yīng)用等方面已有一定進(jìn)步,但由于缺少專門研制機(jī)構(gòu),集成工藝和材料工業(yè)跟不上來,使許多新型濾波器的研制應(yīng)用與國際水平有一段距離。1.3濾波器的分類從大的方面分,濾波器分為模擬濾波器和數(shù)字濾波器。模擬濾波器有電阻,電容,電感,及由原器件構(gòu)成;實(shí)際中數(shù)字濾波器應(yīng)用的比較廣泛。從實(shí)現(xiàn)方法上分,數(shù)字濾波器分為IIR和FIR,即無限沖激響應(yīng)濾波器和有限沖激響應(yīng)濾波器;其中IIR網(wǎng)絡(luò)中有反饋回路,F(xiàn)IR網(wǎng)絡(luò)中沒有反饋回路。從小的方面分為:a) 按所處理的信號(hào)分為模擬濾波器和數(shù)字濾波器兩種。b) 按所通過信號(hào)的頻段分為低通、高通、帶通和帶阻濾波器四種。 其中:低通

12、濾波器:它允許信號(hào)中的低頻或直流分量通過,抑制高頻分量或干擾和噪聲。 高通濾波器:它允許信號(hào)中的高頻分量通過,抑制低頻或直流分量。 帶通濾波器:它允許一定頻段的信號(hào)通過,抑制低于或高于該頻段的信號(hào)、干擾和噪聲。 帶阻濾波器:它抑制一定頻段內(nèi)的信號(hào),允許該頻段以外的信號(hào)通過。 c) 按所采用的元器件分為無源和有源濾波器兩種: 其中:無源濾波器: 僅由無源元件(R、L 和C)組成的濾波器,它是利用電容和電感元件的電抗隨頻率的變化而變化的原理構(gòu)成的。這類濾波器的優(yōu)點(diǎn)是:電路比較簡單,不需要直流電源供電,可靠性高;缺點(diǎn)是:通帶內(nèi)的信號(hào)有能量損耗,負(fù)載效應(yīng)比較明顯,使用電感元件時(shí)容易引起電磁感應(yīng),當(dāng)電感

13、L較大時(shí)濾波器的體積和重量都比較大,在低頻域不適用。 有源濾波器:由無源元件(一般用R和C)和有源器件(如集成運(yùn)算放大器)組成。這類濾波器的優(yōu)點(diǎn)是:通帶內(nèi)的信號(hào)不僅沒有能量損耗,而且還可以放大,負(fù)載效應(yīng)不明顯,多級(jí)相聯(lián)時(shí)相互影響很小,利用級(jí)聯(lián)的簡單方法很容易構(gòu)成高階濾波器,并且濾波器的體積小、重量輕、不需要磁屏蔽(由于不使用電感元件);缺點(diǎn)是:通帶范圍受有源器件(如集成運(yùn)算放大器)的帶寬限制,需要直流電源供電,可靠性不如無源濾波器高,在高壓、高頻、大功率的場合不適用。 1.4 數(shù)字濾波器的研究背景與意義當(dāng)今,數(shù)字信號(hào)處理(DSP:Digtal Signal Processing)技術(shù)正飛速發(fā)展

14、,它不但自成一門學(xué)科,更是以不同形式影響和滲透到其他學(xué)科,它與國民經(jīng)濟(jì)息息相關(guān),與國防建設(shè)緊密相連;它影響或改變著我們的生產(chǎn)、生活方式,因此受到人們普遍的關(guān)注。數(shù)字化、智能化和網(wǎng)絡(luò)化是當(dāng)代信息技術(shù)發(fā)展的大趨勢(shì),而數(shù)字化是智能化和網(wǎng)絡(luò)化的基礎(chǔ),實(shí)際生活中遇到的信號(hào)多種多樣,例如廣播信號(hào)、電視信號(hào)、雷達(dá)信號(hào)、通信信號(hào)、導(dǎo)航信號(hào)、射電天文信號(hào)、生物醫(yī)學(xué)信號(hào)、控制信號(hào)、氣象信號(hào)、地震勘探信號(hào)、機(jī)械振動(dòng)信號(hào)、遙感遙測信號(hào),等等。上述這些信號(hào)大部分是模擬信號(hào),也有小部分是數(shù)字信號(hào)。模擬信號(hào)是自變量的連續(xù)函數(shù),自變量可以是一維的,也可以是二維或多維的。大多數(shù)情況下一維模擬信號(hào)的自變量是時(shí)間,經(jīng)過時(shí)間上的離散

15、化(采樣)和幅度上的離散化(量化),這類模擬信號(hào)便成為一維數(shù)字信號(hào)。因此,數(shù)字信號(hào)實(shí)際上是用數(shù)字序列表示的信號(hào),語音信號(hào)經(jīng)采樣和量化后,得到的數(shù)字信號(hào)是一個(gè)一維離散時(shí)間序列;而圖像信號(hào)經(jīng)采樣和量化后,得到的數(shù)字信號(hào)是一個(gè)二維離散空間序列。數(shù)字信號(hào)處理,就是用數(shù)值計(jì)算的方法對(duì)數(shù)字序列進(jìn)行各種處理,把信號(hào)變換成符合需要的某種形式。例如,對(duì)數(shù)字信號(hào)經(jīng)行濾波以限制他的頻帶或?yàn)V除噪音和干擾,或?qū)⑺麄兣c其他信號(hào)進(jìn)行分離;對(duì)信號(hào)進(jìn)行頻譜分析或功率譜分析以了解信號(hào)的頻譜組成,進(jìn)而對(duì)信號(hào)進(jìn)行識(shí)別;對(duì)信號(hào)進(jìn)行某種變換,使之更適合于傳輸,存儲(chǔ)和應(yīng)用;對(duì)信號(hào)進(jìn)行編碼以達(dá)到數(shù)據(jù)壓縮的目的,等等。數(shù)字濾波技術(shù)是數(shù)字信號(hào)分

16、析、處理技術(shù)的重要分支。無論是信號(hào)的獲取、傳輸,還是信號(hào)的處理和交換都離不開濾波技術(shù),它對(duì)信號(hào)安全可靠和有效靈活地傳輸是至關(guān)重要的。在所有的電子系統(tǒng)中,使用最多技術(shù)最復(fù)雜的要算數(shù)字濾波器了。數(shù)字濾波器的優(yōu)劣直接決定產(chǎn)品的優(yōu)劣。1.5 數(shù)字濾波器的現(xiàn)實(shí)運(yùn)用及發(fā)展趨勢(shì)信號(hào)處理過程中,所處理的信號(hào)往往混有噪音,故而從包含噪聲的信號(hào)中消除或減弱噪音在信號(hào)傳輸和處理中具有非常重要價(jià)值。根據(jù)有用信號(hào)和噪音的不同特性,提取有用信號(hào),其過程稱為之濾波,實(shí)現(xiàn)濾波功能的系統(tǒng)稱為濾波器。在近代電信設(shè)備和各類控制系統(tǒng)中,數(shù)字濾波器應(yīng)用極為廣泛,這里只列舉部分應(yīng)用最成功的領(lǐng)域。(1)語音處理 語音處理是最早應(yīng)用數(shù)字濾波

17、器的領(lǐng)域,也是最早推動(dòng)數(shù)字信號(hào)處理理論發(fā)展的領(lǐng)域。其中主要包括5個(gè)方面的內(nèi)容:第一,語音信號(hào)分析。即對(duì)語音信號(hào)的波形特征、統(tǒng)計(jì)特性、參數(shù)等進(jìn)行分析、計(jì)算;第二,語音合成。即利用專用數(shù)字硬件或在通用計(jì)算機(jī)上運(yùn)行軟件來產(chǎn)生語音;第三,語音識(shí)別。即用專用硬件或計(jì)算機(jī)識(shí)別人講的話,或者識(shí)別說話的人;第四,語音增強(qiáng)。即從噪音或干擾中提取被掩蓋的語音信號(hào)。第五,語音編碼。主要用于語音數(shù)據(jù)壓縮。近年來,這5個(gè)方面都取得了不少研究成果,并且,在市場上已出現(xiàn)了一些相關(guān)的軟件和硬件產(chǎn)品,例如,盲人閱讀機(jī)、口授打印機(jī)、語音應(yīng)答機(jī),各種會(huì)說話的儀器和玩具,以及通信和視聽產(chǎn)品大量使用的音頻壓縮編碼技術(shù)。(2)通信在現(xiàn)代

18、通信技術(shù)領(lǐng)域中,幾乎全部都受到數(shù)字濾波技術(shù)的影響。信源編碼、信道編碼、調(diào)制、多路復(fù)用、數(shù)據(jù)壓縮以及自適應(yīng)信道均衡等,都廣泛地采用數(shù)字濾波器,特別是在數(shù)字通信、網(wǎng)絡(luò)通信、圖像通信、多媒體通信等應(yīng)用中,都不可能離開了數(shù)字濾波器。(3)圖像處理數(shù)字濾波技術(shù)以成功地應(yīng)用于靜止圖像和活動(dòng)圖像的恢復(fù)和增強(qiáng)、數(shù)據(jù)壓縮、去噪音和干擾、圖像識(shí)別,還成功地應(yīng)用于雷達(dá)、聲納、超聲波和紅外信號(hào)的可見圖像成像。(3)雷達(dá)雷達(dá)信號(hào)占有的頻帶非常寬,數(shù)據(jù)傳輸速率也非常高,因而壓縮數(shù)據(jù)量和降低數(shù)據(jù)傳輸速率是雷達(dá)信號(hào)數(shù)字處理面臨的首要問題。高速數(shù)字器件的出現(xiàn)促進(jìn)了雷達(dá)信號(hào)處理技術(shù)的進(jìn)步。在現(xiàn)代雷達(dá)系統(tǒng)中,數(shù)字信號(hào)處理部分是不可

19、或缺的,因?yàn)閺男盘?hào)的產(chǎn)生、濾波、加工到目標(biāo)參數(shù)的估計(jì)和目標(biāo)成像顯示都離不開數(shù)字濾波技術(shù)。(6)聲納聲納信號(hào)處理分為兩大類,即有源聲納信號(hào)處理和無源聲納信號(hào)處理,有源聲納系統(tǒng)涉及的許多理論和技術(shù)與雷達(dá)系統(tǒng)相同。例如,他們都要產(chǎn)生和發(fā)射脈沖式探測信號(hào),他們的信號(hào)處理任務(wù)都主要是對(duì)微弱的目標(biāo)回波進(jìn)行檢測和分析,從而達(dá)到對(duì)目標(biāo)進(jìn)行探測、定位、跟蹤、導(dǎo)航、成像顯示等目的,他們要應(yīng)用到的主要信號(hào)處理技術(shù)包括濾波、門限比較、譜估計(jì)等。(7)生物醫(yī)學(xué)信號(hào)處理 數(shù)字濾波器在醫(yī)學(xué)中的應(yīng)用日益廣泛,如對(duì)腦電圖和心電圖的分析、層析X射線攝影的計(jì)算機(jī)輔助分析、胎兒心音的自適應(yīng)檢測等。(8)其他領(lǐng)域數(shù)字濾波器的應(yīng)用領(lǐng)域如

20、此廣泛,以至于想完全列舉他們是根本不可能的,除了以上幾個(gè)領(lǐng)域外,還有很多其他的應(yīng)用領(lǐng)域。例如,在軍事上被大量應(yīng)用于導(dǎo)航、制導(dǎo)、電子對(duì)抗、戰(zhàn)場偵察;在電力系統(tǒng)中被應(yīng)用于能源分布規(guī)劃和自動(dòng)檢測;在環(huán)境保護(hù)中被應(yīng)用于對(duì)空氣污染和噪聲干擾的自動(dòng)監(jiān)測,在經(jīng)濟(jì)領(lǐng)域中被應(yīng)用于股票市場預(yù)測和經(jīng)濟(jì)效益分析等等。1.6 數(shù)字濾波器的簡介濾波就是有選擇性地提取或去掉(或削弱)某一段或某幾段頻率范圍內(nèi)的信號(hào),數(shù)字濾波器是一個(gè)離散的系統(tǒng),通過對(duì)輸入的離散信號(hào)進(jìn)行一系列運(yùn)算處理,從輸入的信號(hào)中獲得所需要的信息。數(shù)字濾波器的系統(tǒng)函數(shù)通常表示為 (1-1)其中均為濾波器參數(shù)。在(1-1)中,當(dāng)值不全為零值時(shí), 必定含有一個(gè)或

21、一個(gè)以上的極值點(diǎn),此時(shí)單位脈沖響應(yīng)為無限長,對(duì)于一個(gè)因果穩(wěn)定的數(shù)字濾波器來說,系統(tǒng)函數(shù)所有極點(diǎn)必須在單位圓內(nèi),因而把含有這樣極值點(diǎn)的系統(tǒng)函數(shù)的數(shù)字濾波器稱為無限脈沖響應(yīng)數(shù)字濾波器(Infinite Impulse Response),即IIR數(shù)字濾波器。而當(dāng)值全為零時(shí),系統(tǒng)函數(shù)只有一個(gè)零點(diǎn),(1-1)表示的系統(tǒng)函數(shù)可以寫成: (1-2)公式(1-2)表明,F(xiàn)IR濾波器的系統(tǒng)函數(shù)是的階多項(xiàng)式,在有限平面上有個(gè)零點(diǎn),而在平面原點(diǎn)處有極點(diǎn)。(1-2)式表示的系統(tǒng),其單位脈沖響應(yīng)可以表示為:(1-3)在(1-3)中,只有當(dāng),有非零值,數(shù)字濾波器的脈沖響應(yīng)是有限長的,把這種數(shù)字濾波器稱為有限脈沖響應(yīng)數(shù)字

22、濾波器(Finite Impulese Response),即FIR數(shù)字濾波器。設(shè)計(jì)一個(gè)數(shù)字濾波器一般包括以下三步:a) 按照任務(wù)要求,確定濾波器的性能,例如,需要濾除哪些頻率分量,保留哪些頻率分量,保留的部分允許有多大的幅度或相位失真等。 b) 用一個(gè)因果穩(wěn)定的離散線性時(shí)不變系統(tǒng)的系統(tǒng)函數(shù)去逼近這一性能要求。c) 數(shù)字濾波器的實(shí)現(xiàn)。其中包括選擇運(yùn)算結(jié)構(gòu),確定運(yùn)算和系數(shù)的存儲(chǔ)字長,選用通用計(jì)算機(jī)及相應(yīng)的軟件或者專用數(shù)字濾波器硬件實(shí)現(xiàn)這一系統(tǒng)。1.6.1 IIR數(shù)字濾波器無限脈沖響應(yīng)(IIR)數(shù)字濾波器的設(shè)計(jì)就是在給定的技術(shù)指標(biāo)下去確定濾波器的階數(shù)N和系數(shù) , 。通常先設(shè)計(jì)一個(gè)合適的模擬濾波器,

23、然后變換成滿足預(yù)定指標(biāo)的數(shù)字濾波器。由于模擬濾波器的設(shè)計(jì)技術(shù)相對(duì)成熟,不僅有高效的設(shè)計(jì)方法,而且有各種現(xiàn)成的設(shè)計(jì)軟件,故而可以廣泛使用。1.6.2 FIR數(shù)字濾波器N階系統(tǒng)函數(shù)可以寫成: (1-4)FIR濾波器的頻率響應(yīng)為 (1-5)它的另外一種表示方法為 (1-6)其中和分別為系統(tǒng)的幅度響應(yīng)和相位響應(yīng)。線性相位,群時(shí)延 為常數(shù)。=0或者,對(duì)應(yīng)兩類線性相位特性。嚴(yán)格地說第二種情況是不具有線性相位特性的,但都滿足群延遲是一個(gè)常數(shù),仍可以視為具有線性相位的。對(duì)于N-1階FIR數(shù)字濾波器,頻率響應(yīng)為 (1-7)顯然,等式中間和右邊的實(shí)部與虛部各自相等,同樣,各自實(shí)部與虛部的比值也相等,故 (1-8)

24、將(1-8)式兩邊交相叉乘,再運(yùn)用三角函數(shù)的恒等關(guān)系,得 (1-9)下面分別討論兩種線性相位第I類線性相位特性: ,初始相位=0,是一個(gè)與無關(guān)的常數(shù)相位響應(yīng)是一條通過原點(diǎn)的直線,式(1.9)可以寫成 (1-10)由于關(guān)于序列中心點(diǎn) (1-11)奇對(duì)稱,因此只要關(guān)于中心點(diǎn)偶對(duì)稱,則(1-10)式成立,即 (1-12)第II類線性相位特性: ,初始相位=,是一個(gè)與無關(guān)的常數(shù)相位響應(yīng)不是一條通過原點(diǎn)的直線,有一個(gè)在縱軸上的截距,式(1-9)可以寫成 (1-13)由于關(guān)于序列中心點(diǎn)對(duì)稱,所以只要關(guān)于中心點(diǎn)奇對(duì)稱,則(1-10)式成立,即 (1-14)表1-1 四種線性相位FIR濾波器的性質(zhì)類型IIII

25、IIIV階數(shù)N-1偶數(shù)奇數(shù)偶數(shù)奇數(shù)hn的對(duì)稱性偶對(duì)稱偶對(duì)稱奇對(duì)稱奇對(duì)稱相位特 幅頻特性關(guān)于 對(duì)稱性偶對(duì)稱偶對(duì)稱奇對(duì)稱奇對(duì)稱幅頻特性關(guān)于對(duì)稱性偶對(duì)稱奇對(duì)稱奇對(duì)稱偶對(duì)稱幅頻特性周期 任意任意00 任意00任意可適用的濾波器類型LP,HP,BP,SPLP,BP微分器,變換器,Hilbert微分器,變換器,Hilbert,HP資料來源:姚天仁.數(shù)字信號(hào)處理綜上所述:單位沖激響應(yīng)應(yīng)滿足(1-12)或者(1-14)的條件,即關(guān)于序列中心點(diǎn)偶對(duì)稱或者奇對(duì)稱的FIR濾波器,都具有線性相位,而且群時(shí)延都等于,N-1是濾波器的階數(shù)。同時(shí),N-1取偶數(shù)或者奇數(shù),分別對(duì)應(yīng)四中線性相位濾波器,四種線性相位FIR濾波器的

26、性質(zhì)如表1-1所示1.6.3 IIR與FIR數(shù)字濾波器的優(yōu)缺點(diǎn)a) 對(duì)于幅頻特性而言,IIR濾波器可以用較少的階數(shù)獲得相同技術(shù)指標(biāo),這樣所需的存儲(chǔ)單元少,運(yùn)算次數(shù)少,較為經(jīng)濟(jì)。b) FIR濾波器可得到嚴(yán)格的線性相位,而IIIR濾波器則做不到這一點(diǎn),IIR濾波器的頻率選擇性越好,則相位的非線性越嚴(yán)重。IIR濾波器加全通網(wǎng)絡(luò)補(bǔ)償才能得到線性相位,這同樣大大的增加了濾波器的階數(shù)和復(fù)雜性。c) FIR濾波器不存在內(nèi)部的反饋,因而系統(tǒng)穩(wěn)定。FIR濾波器的有限精度誤差較小。IIR濾波器的反饋有時(shí)會(huì)造成系統(tǒng)的不穩(wěn)定,為了較少運(yùn)算誤差,IIR濾波器對(duì)運(yùn)算的精度要求也比較高。d) FIR濾波器可以用快速傅里葉變

27、換(FFT)算法減少運(yùn)算量,IIR濾波器則不可能這么計(jì)算。e) 從設(shè)計(jì)工作看,IIR濾波器可以借助原型模擬濾波器的設(shè)計(jì)方法和設(shè)計(jì)程序,其設(shè)計(jì)計(jì)算的工作量不大,但主要用于設(shè)計(jì)頻率特性為分段常數(shù)的低通,高通,帶通,帶阻等類型的濾波器。FIR濾波器設(shè)計(jì)則無法借助于原型模擬濾波器的設(shè)計(jì)方法。第2章 FIR濾波器的設(shè)計(jì)第2章 FIR濾波器的設(shè)計(jì)FIR數(shù)字濾波器的設(shè)計(jì)方法主要有窗函數(shù)設(shè)計(jì)法、頻率采樣設(shè)計(jì)法、最優(yōu)等波紋線性相位,其中窗函數(shù)設(shè)計(jì)法是最常用的,其次是頻率采樣法,雖然這兩種設(shè)計(jì)方法簡單易行,但在實(shí)際工程應(yīng)用中,有時(shí)會(huì)偏離設(shè)計(jì)指標(biāo),存在不足,故而,采樣最優(yōu)等波紋線性相位FIR濾波器設(shè)計(jì)法,三種設(shè)計(jì)方

28、法中,第三中最精確。 2.1窗函數(shù)法設(shè)計(jì)FIR濾波器2.1.1 窗函數(shù)法的設(shè)計(jì)思路窗函數(shù)設(shè)計(jì)法是FIR數(shù)字濾波器里最簡單的一種設(shè)計(jì)法,又叫傅里葉級(jí)數(shù)法。一般設(shè)計(jì)過程總是先給定一理想的濾波器頻率響應(yīng),然后設(shè)計(jì)一個(gè)FIR濾波器,用它的頻率響為 (2-1)來逼近理想的。這種逼近中最直接的方法,是在時(shí)域中用FIR濾波器的單位脈沖響應(yīng)h(n)去逼近理想的單位脈沖。因而,先由的IDTF導(dǎo)出 (2-2)濾波器是有限長的,所以要用有限長的h(n)來逼近無限長的,最簡單的方法是截取中最重要的一段,將無限長的截取成長度為N的有限長序列,等效于在上施加了一個(gè)長度為N的矩形窗口,更一般的,可以用一個(gè)長度為N的窗口函數(shù)

29、來截取,即 (2-3)這種方法即窗口設(shè)計(jì)法,窗口函數(shù)的形狀及長度N的選擇是窗口設(shè)計(jì)法的關(guān)鍵。這里以截止頻率為的線性相位理想低通濾波器為例,討論FIR濾波器的設(shè)計(jì)問題。 給定的理想低通濾波器為 (2-4)其中 為低通相位特性的常系數(shù)通過傅里葉反變換得到對(duì)應(yīng)的為: (2-5)是中心點(diǎn)在 的偶對(duì)稱的無限長非因果序列,截取n=0N-1的一段長度為N的序列,在保證h(n)為因果下,設(shè)定 (2-6)所得h(n)表示為: (2-7) 其中 表示窗函數(shù),一般用下標(biāo)來表示窗函數(shù)的類型,矩形窗記為 。2.1.2吉布斯現(xiàn)象 理想濾波器的單位脈沖響應(yīng)在經(jīng)窗函數(shù)加權(quán)后,通帶截止頻率 處的間斷點(diǎn)變成了連續(xù)曲線,從而使出現(xiàn)

30、一個(gè)過渡帶,由此過渡帶所帶來的通帶和阻帶的振蕩和波動(dòng),即吉布斯現(xiàn)象。過渡帶的寬度取決于窗函數(shù)的主瓣寬度,增加截取長度N,主瓣變窄,減少過度帶寬,但不會(huì)改變尖峰的相對(duì)值(主瓣和旁瓣的相對(duì)比例)。在矩形窗下,最大的尖峰值都是8.95。為了減少序列因截?cái)喽a(chǎn)生的Gibbs效應(yīng),窗函數(shù)在設(shè)計(jì)時(shí)需要注意:a) 主瓣寬度要小,以獲得較陡的過渡帶。b) 與主瓣的幅度相比,旁瓣盡量小,以減小帶內(nèi),帶外波動(dòng)的最大振幅。二者很難同時(shí)滿足,故采取折衷,即在保證主瓣寬度的前提下,適當(dāng)?shù)臓奚靼陙頁Q取旁瓣波動(dòng)的減小。2.1.3 幾種常用的窗口函數(shù)的討論、比較a) 矩形窗 (2-8) 頻譜為 (2-9)幅度函數(shù)為 (2-

31、10)矩形窗的主瓣寬度為。用矩形窗設(shè)計(jì)的FIR濾波器過渡帶寬度近似為 。b) 漢寧(Hanning)窗,又稱升余弦窗 (2-11)幅度函數(shù)近視為 (2-12)這三部分之和,使旁瓣相互抵消,能量集中在主瓣。但代價(jià)是主瓣寬度比矩形窗的主瓣寬度增加一倍,即為。c) 漢明(Hamming)窗,又稱改進(jìn)的升余弦窗 (2-13) 幅度函數(shù)近視為 (2-14)它是漢寧窗的改進(jìn),在主瓣寬度(對(duì)應(yīng)第一零點(diǎn)寬度)相同的情況下,旁瓣進(jìn)一步減小,可使99.96的能量集中在窗譜的主瓣內(nèi)。d) 布萊克曼(Blackman)窗,又稱三階升余弦窗 (2-15)幅度函數(shù)近視為 (2-16)增加一個(gè)二次諧波余弦分量,可進(jìn)一步降低

32、旁瓣,但主瓣寬度進(jìn)一步增加,為。e) 凱塞(Kaiser)窗凱塞窗是一種最優(yōu)窗函數(shù),不同于前面四種窗函數(shù),凱塞窗是一種參數(shù)可調(diào)的窗函數(shù),其函數(shù)形式如下: (2-17)是零階修正貝塞爾函數(shù),參數(shù)可自由選擇,決定主瓣寬度與旁瓣衰減。越大,w(n)窗越窄,其頻譜的主瓣變寬,旁瓣變小。一般取 49=5.44 接近漢明=8.5 接近布萊克曼=0 為矩形不同窗函數(shù)的比較如下表2-1:表2-1 不同窗函數(shù)的比較窗函數(shù)主瓣帶寬過渡帶帶寬旁瓣峰值衰減(dB)阻帶最小衰減(dB)矩形-13-21漢寧-31-44漢明-41-53布萊克曼-57-74資料來源:吳鎮(zhèn)揚(yáng)的數(shù)字信號(hào)處理窗函數(shù)法設(shè)計(jì)的濾波器設(shè)計(jì)方法簡單,而且

33、通常能夠設(shè)計(jì)出性能較好的濾波器。但有三大主要缺點(diǎn):(a)無論怎么改變截取長度N,采取何種窗函數(shù)設(shè)計(jì),都無法消除吉布斯現(xiàn)象。只能是較少通帶的波動(dòng),增加阻帶的衰減。(b)不能分別控制通帶和阻帶的波動(dòng)幅度,窗函數(shù)設(shè)計(jì)的濾波器具有基本相等的波紋幅度。但在實(shí)際中,通常要求阻帶波紋幅度要比通帶波紋幅度小很多,因此,用窗函數(shù)設(shè)計(jì)的濾波器,當(dāng)阻帶波紋滿足設(shè)計(jì)要求時(shí),通帶波紋幅度就必然遠(yuǎn)小于設(shè)計(jì)指標(biāo),即通帶波紋的過設(shè)計(jì)。(c)大多數(shù)窗函數(shù)設(shè)計(jì)的濾波器,其通帶或阻帶內(nèi)的波紋不是等福的。具體而言,在過渡帶附近波紋最大,隨著遠(yuǎn)離過渡帶,波紋幅度逐漸減小。因此在設(shè)計(jì)濾波器時(shí),總是以過渡帶附近的最大波紋幅度作為設(shè)計(jì)指標(biāo)要

34、求。這樣設(shè)計(jì)出的濾波器,在遠(yuǎn)離過渡帶的頻率范圍內(nèi),通帶或者阻帶內(nèi)的波紋都是過設(shè)計(jì)。2.2頻率采樣設(shè)計(jì)法FIR數(shù)字濾波器2.2.1 頻率采樣法的設(shè)計(jì)思路根據(jù)要求的理想頻率響應(yīng)選定N個(gè)取樣值,令=,計(jì)算 的N點(diǎn)IDFT便得到設(shè)計(jì)的FIR濾波器的沖激響應(yīng)h(n)。思路簡單,但是, h(n)的頻率響應(yīng)是否準(zhǔn)確等于要求的頻率響應(yīng),如何最好的逼近。簡要的具體方法如圖2-1所示h(n)要求的沖激響應(yīng)周期延展 DTFTh(n)N點(diǎn)取樣IDFT設(shè)計(jì)的濾波器沖激響應(yīng)要求的頻率響應(yīng) DTFT逼近 設(shè)計(jì)的濾波器頻率響應(yīng) 數(shù)據(jù)來源: 日谷萩隆嗣圖2-1 頻率采樣法的簡要框圖頻率采樣法的具體方法:頻率采樣法是從頻率出發(fā),

35、對(duì)理想的頻率響應(yīng)等間隔采樣, (2-18)以作為實(shí)際的FIR濾波器的頻率特性的離散樣本H(k),再有H(k)通過IDFT求出有限長序列h(n)為 (2-19)利用N個(gè)頻率的離散樣本H(k),求出FIR濾波器的系統(tǒng)函數(shù)H(Z)及頻率響應(yīng) (2-20)在單位圓上的頻響為 (2-21)這是一個(gè)內(nèi)插公式,其中是內(nèi)插函數(shù),令 則 (2-22)由此:頻響在采樣點(diǎn)等于H(K),而在采樣點(diǎn)之間等于采樣值的內(nèi)插函數(shù)延伸疊加形成。故而有一定的誤差,其大小取決于理想頻率響應(yīng)的曲線形狀。采樣點(diǎn)之間的變化越陡,誤差越大,因而在理想頻率特性的不連續(xù)點(diǎn)附近,出現(xiàn)尖峰和波紋,反之,亦然。當(dāng)然,增大N值,可以減小逼近誤差,但間

36、斷點(diǎn)附近誤差仍然最大,且N太大會(huì)增加濾波器級(jí)數(shù)與成本。2.2.1 四中類型的線性相位FIR數(shù)字濾波器為了設(shè)計(jì)線性相位的FIR濾波器,采樣值 要滿足一定的約束條件,見表(1-1)a) 第I類線性相位FIR數(shù)字濾波器,h(n)偶對(duì)稱,N為奇數(shù),線性相位FIR濾波器在之間的值可由確定,在的值確定后,對(duì)做N點(diǎn)的IDFT即可得到I型線性相位濾波器的hn。b) 第II類線性相位FIR數(shù)字濾波器,h(n)偶對(duì)稱,N為偶數(shù),線性相位FIR濾波器在之間的值可由 確定。c) 第III類線性相位FIR數(shù)字濾波器,h(n)奇對(duì)稱,N為奇數(shù),線性相位FIR濾波器在之間的值可由確定。d) 第IV類線性相位FIR數(shù)字濾波器

37、,h(n)奇對(duì)稱,N為偶數(shù),線性相位FIR濾波器在之間的值可由確定。2.2.3 FIR數(shù)字濾波器的改進(jìn)為了提高濾波器的質(zhì)量并減少誤差,可以采用人為地?cái)U(kuò)展過渡帶的方法,即在頻率相應(yīng)的過渡帶內(nèi)插入一個(gè)或多個(gè)比較連續(xù)的采樣點(diǎn),使得過渡帶比較連續(xù),從而使得通帶和阻帶之間變法比較緩慢,使得設(shè)計(jì)得到的濾波器對(duì)理想濾波器的逼近誤差較小。在理想低通濾波器的設(shè)計(jì)中,若不增加過渡點(diǎn),阻帶和通帶之間的衰減約為-21dB,如果在通帶和阻帶之間增加一個(gè)采樣點(diǎn),阻帶的最小衰減可以提高到-65dB,如果增加兩個(gè)采樣點(diǎn),阻帶的最小衰減可以提高到-75dB,如果增加3個(gè)采樣點(diǎn),阻帶的最小衰減可以提高到-85dB至-95dB。2

38、.3 最優(yōu)等波紋線性相位法設(shè)計(jì)FIR濾波器在最優(yōu)化設(shè)計(jì)中,“最優(yōu)”的準(zhǔn)則有兩種:均方誤差最小準(zhǔn)則和最大誤差最小準(zhǔn)則。窗函數(shù)法設(shè)計(jì)的濾波器在定義均方誤差最小的意義上是最優(yōu)的,頻率取樣法以阻帶衰減最大為目標(biāo)對(duì)過渡帶的取樣值進(jìn)行優(yōu)化。而最優(yōu)等波紋線性相位法所依據(jù)的最優(yōu)化準(zhǔn)則是使通帶和阻帶內(nèi)的最大誤差的絕對(duì)值最小化。等波紋逼近法是一種優(yōu)化設(shè)計(jì)方法,它克服了窗函數(shù)設(shè)計(jì)法和頻率采樣法的缺陷,是最大誤差最小化設(shè)計(jì)方法,并在整個(gè)逼近頻段上均勻分布。為希望逼近的幅度特性函數(shù),為實(shí)際設(shè)計(jì)的幅度特性函數(shù),定義加權(quán)誤差函數(shù)為 (2-23)式中,被稱為誤差加權(quán)函數(shù),是由設(shè)計(jì)者定義的,用來控制不同頻段的逼近精度。經(jīng)過推導(dǎo)

39、可把統(tǒng)一標(biāo)示為: (2-24)式中,是系數(shù)不同的余弦組合式,記 (2-25)是不同的常數(shù),在設(shè)計(jì)FIR濾波器時(shí)存在四種線性相位,當(dāng) 且奇對(duì)稱時(shí),N為奇數(shù),為1;N為偶數(shù)時(shí), 為 ;而當(dāng)偶對(duì)稱時(shí),不管N為奇數(shù)還是偶數(shù),都取。等波紋逼近的問題就是選擇N個(gè)系數(shù) ,使加權(quán)誤差的最大值最小。設(shè)定誤差加權(quán)函數(shù)、通帶波紋峰值 及N+1個(gè)初始頻率 ,i=0,1,2,N,計(jì)算各個(gè)頻率點(diǎn)上的加權(quán)誤差函數(shù)值,如果這些加權(quán)誤差函數(shù)值小于等于給定的通帶波紋峰值,則為最佳頻率點(diǎn);如果某個(gè)頻率點(diǎn)上的加權(quán)誤差函數(shù)值大于給定的通帶波紋峰值 ,就用Remez算法更新迭代查找最佳頻率點(diǎn)。找到最佳頻率點(diǎn)后,計(jì)算的系數(shù)及。借助Reme

40、z算法來設(shè)計(jì)等波紋的FIR數(shù)字濾波器的步驟如下:給出所需的頻率響應(yīng)、誤差加權(quán)函數(shù)和單位脈沖響應(yīng)的長度N,根據(jù)具體情況,進(jìn)行 統(tǒng)一表達(dá)式的轉(zhuǎn)換;設(shè)定初始頻率點(diǎn)和通帶波紋值,采用Remez算法計(jì)算最佳頻率點(diǎn)組,由最佳頻率點(diǎn)組計(jì)算及系數(shù),根據(jù)求出的的表達(dá)式再利用傅里葉逆變換求得濾波器的單位脈沖響應(yīng) 。第3章 Matlab簡介第3章 Matlab簡介MATLAB是由美國Mathworks公司推出的用于數(shù)值計(jì)算和圖形處理的科學(xué)計(jì)算系統(tǒng)環(huán)境。MATLAB是英文MATrix LABoratory(矩形實(shí)驗(yàn)室)的縮寫。在MATLAB環(huán)境下,用戶可以集成地進(jìn)行程序設(shè)計(jì),數(shù)值計(jì)算,圖形繪制,輸入輸出,文件管理等各

41、項(xiàng)操作。除此之外,MATLAB還具有很強(qiáng)的功能擴(kuò)展能力,與它的主系統(tǒng)一起,可以配備各種各樣的工具箱,以完成一些特定的任務(wù)。目前,Mathworks公司推出了18種工具箱。用戶可以根據(jù)自己的工作任務(wù),開發(fā)自己的工具箱。3.1 matlab產(chǎn)生的歷史背景在70年代中期,Cleve Moler博士和其同事在美國國家科學(xué)基金的資助下開發(fā)了調(diào)用EISPACK和LINPACK的FORTRAN子程序庫.EISPACK是特征值求解的FOETRAN程序庫,LINPACK是解線性方程的程序庫.在當(dāng)時(shí),這兩個(gè)程序庫代表矩陣運(yùn)算的最高水平.到70年代后期,身為美國New Mexico大學(xué)計(jì)算機(jī)系系主任的Cleve M

42、oler,在給學(xué)生講授線性代數(shù)課程時(shí),想教學(xué)生使用EISPACK和LINPACK程序庫,但他發(fā)現(xiàn)學(xué)生用FORTRAN編寫接口程序很費(fèi)時(shí)間,于是他開始自己動(dòng)手,利用業(yè)余時(shí)間為學(xué)生編寫EISPACK和LINPACK的接口程序. Cleve Moler給這個(gè)接口程序取名為MATLAB,該名為矩陣(matrix)和實(shí)驗(yàn)室(labotatory)兩個(gè)英文單詞的前三個(gè)字母的組合.在以后的數(shù)年里,MATLAB在多所大學(xué)里作為教學(xué)輔助軟件使用,并作為面向大眾的免費(fèi)軟件廣為流傳.1983年春天,Cleve Moler到Standford大學(xué)講學(xué),MATLAB深深地吸引了工程師JohnLittle.John Li

43、ttle敏銳地覺察到MATLAB在工程領(lǐng)域的廣闊前景.同年,他和CleveMoler,Steve Bangert一起,用C語言開發(fā)了第二代專業(yè)版.這一代的MATLAB語言同時(shí)具備了數(shù)值計(jì)算和數(shù)據(jù)圖示化的功能.1984年,Cleve Moler和John Little成立了Math Works公司,正式把MATLAB推向市場,并繼續(xù)進(jìn)行MATLAB的研究和開發(fā).在當(dāng)今30多個(gè)數(shù)學(xué)類科技應(yīng)用軟件中,就軟件數(shù)學(xué)處理的原始內(nèi)核而言,可分為兩大類.一類是數(shù)值計(jì)算型軟件,如MATLAB,Xmath,Gauss等,這類軟件長于數(shù)值計(jì)算,對(duì)處理大批數(shù)據(jù)效率高;另一類是數(shù)學(xué)分析型軟件, Mathematica,

44、Maple等,這類軟件以符號(hào)計(jì)算見長,能給出解析解和任意精確解,其缺點(diǎn)是處理大量數(shù)據(jù)時(shí)效率較低.MathWorks公司順應(yīng)多功能需求之潮流,在其卓越數(shù)值計(jì)算和圖示能力的基礎(chǔ)上,又率先在專業(yè)水平上開拓了其符號(hào)計(jì)算,文字處理,可視化建模和實(shí)時(shí)控制能力,開發(fā)了適合多學(xué)科,多部門要求的新一代科技應(yīng)用軟件MATLAB.經(jīng)過多年的國際競爭,MATLAB以經(jīng)占據(jù)了數(shù)值軟件市場的主導(dǎo)地位.在MATLAB進(jìn)入市場前,國際上的許多軟件包都是直接以FORTRANC語言等編程語言開發(fā)的。這種軟件的缺點(diǎn)是使用面窄,接口簡陋,程序結(jié)構(gòu)不開放以及沒有標(biāo)準(zhǔn)的基庫,很難適應(yīng)各學(xué)科的最新發(fā)展,因而很難推廣。MATLAB的出現(xiàn),為

45、各國科學(xué)家開發(fā)學(xué)科軟件提供了新的基礎(chǔ)。在MATLAB問世不久的80年代中期,原先控制領(lǐng)域里的一些軟件包紛紛被淘汰或在MATLAB上重建。時(shí)至今日,經(jīng)過MathWorks公司的不斷完善,MATLAB已經(jīng)發(fā)展成為適合多學(xué)科,多種工作平臺(tái)的功能強(qiáng)大大大型軟件。在國外,MATLAB已經(jīng)經(jīng)受了多年考驗(yàn)。在歐美等高校,MATLAB已經(jīng)成為線性代數(shù),自動(dòng)控制理論,數(shù)理統(tǒng)計(jì),數(shù)字信號(hào)處理,時(shí)間序列分析,動(dòng)態(tài)系統(tǒng)仿真等高級(jí)課程的基本教學(xué)工具;成為攻讀學(xué)位的大學(xué)生,碩士生,博士生必須掌握的基本技能。在設(shè)計(jì)研究單位和工業(yè)部門,MATLAB被廣泛用于科學(xué)研究和解決各種具體問題。在國內(nèi),特別是工程界,MATLAB一定會(huì)

46、盛行起來。可以說,無論你從事工程方面的哪個(gè)學(xué)科,都能在MATLAB里找到合適的功能。3.2 matlab的語言特點(diǎn)一種語言之所以能如此迅速地普及,顯示出如此旺盛的生命力,是由于它有著不同于其他語言的特點(diǎn),正如同F(xiàn)ORTRAN和C等高級(jí)語言使人們擺脫了需要直接對(duì)計(jì)算機(jī)硬件資源進(jìn)行操作一樣,被稱作為第四代計(jì)算機(jī)語言的MATLAB,利用其豐富的函數(shù)資源,使編程人員從繁瑣的程序代碼中解放出來。MATLAB最突出的特點(diǎn)就是簡潔。MATLAB用更直觀的,符合人們思維習(xí)慣的代碼,代替了C和FORTRAN語言的冗長代碼。MATLAB給用戶帶來的是最直觀,最簡潔的程序開發(fā)環(huán)境。以下簡單介紹一下MATLAB的主要

47、特點(diǎn):a) 語言簡潔緊湊,使用方便靈活,庫函數(shù)極其豐富。MATLAB程序書寫形式自由,利用起豐富的庫函數(shù)避開繁雜的子程序編程任務(wù),壓縮了一切不必要的編程工作。由于庫函數(shù)都由本領(lǐng)域的專家編寫,用戶不必?fù)?dān)心函數(shù)的可靠性??梢哉f,用MATLAB進(jìn)行科技開發(fā)是站在專家的肩膀上。b) 運(yùn)算符豐富。由于MATLAB是用C語言編寫的,MATLAB提供了和C語言幾乎一樣多的運(yùn)算符,靈活使用MATLAB的運(yùn)算符將使程序變得極為簡短。c) MATLAB既具有結(jié)構(gòu)化的控制語句(如for循環(huán),while循環(huán),break語句和if語句),又有面向?qū)ο缶幊痰奶匦浴) 程序限制不嚴(yán)格,程序設(shè)計(jì)自由度大。例如,在MATLA

48、B里,用戶無需對(duì)矩陣預(yù)定義就可使用。e) 程序的可移植性很好,基本上不做修改就可以在各種型號(hào)的計(jì)算機(jī)和操作系統(tǒng)上運(yùn)行。f) MATLAB的圖形功能強(qiáng)大。在FORTRAN和C語言里,繪圖都很不容易,但在MATLAB里,數(shù)據(jù)的可視化非常簡單。MATLAB還具有較強(qiáng)的編輯圖形界面的能力。g) MATLAB的缺點(diǎn)是,它和其他高級(jí)程序相比,程序的執(zhí)行速度較慢。由于MATLAB的程序不用編譯等預(yù)處理,也不生成可執(zhí)行文件,程序?yàn)榻忉寛?zhí)行,所以速度較慢。h) 功能強(qiáng)大的工具箱是MATLAB的另一特色。MATLAB包含兩個(gè)部分:核心部分和各種可選的工具箱。核心部分中有數(shù)百個(gè)核心內(nèi)部函數(shù)。其工具箱又分為兩類:功能

49、性工具箱和學(xué)科性工具箱。功能性工具箱主要用來擴(kuò)充其符號(hào)計(jì)算功能,圖示建模仿真功能,文字處理功能以及與硬件實(shí)時(shí)交互功能。功能性工具箱用于多種學(xué)科。而學(xué)科性工具箱是專業(yè)性比較強(qiáng)的,如control,toolbox,signl proceessing toolbox,commumnication toolbox等。這些工具箱都是由該領(lǐng)域內(nèi)學(xué)術(shù)水平很高的專家編寫的,所以用戶無需編寫自己學(xué)科范圍內(nèi)的基礎(chǔ)程序,而直接進(jìn)行高、精、尖的研究。i) 源程序的開放性。開放性也許是MATLAB最受人們歡迎的特點(diǎn)。除內(nèi)部函數(shù)以外,所有MATLAB的核心文件和工具箱文件都是可讀可改的源文件,用戶可通過對(duì)源文件的修改以及

50、加入自己的文件構(gòu)成新的工具箱。3.2 matlab的功能MATLAB包含的內(nèi)容非常豐富,功能強(qiáng)大,可以概括為以下幾個(gè)方面:a) 可以在多種操作系統(tǒng)下運(yùn)行,如DOS、Windows 95/98/2000/2000/NT、Compaq Alpha、LinuxSun Solaris等。b) 有超過500種的數(shù)學(xué)、統(tǒng)計(jì)、科學(xué)及工程方面的函數(shù),使用簡單快捷,并且有很強(qiáng)的用戶自定義函數(shù)的能力。c) 有強(qiáng)大的圖形繪制和可視化功能,可以進(jìn)行視覺數(shù)據(jù)處理和分析,進(jìn)行圖形、圖像的顯示及編輯,能夠繪制二維、三維圖形,使用戶可以制作高質(zhì)量的圖形,從而寫出圖文并茂的文章。d) 有和用其他高級(jí)語言(如C,C+,F(xiàn)ORTR

51、AN,JAVA)編寫的外部程序相接口的能力,也可把MATLAB程序轉(zhuǎn)換成上述高級(jí)語言的子程序。e) 有從外部文件及外部硬件設(shè)備讀入數(shù)據(jù)的能力。f) 有豐富的網(wǎng)絡(luò)資源,從相關(guān)的Web網(wǎng)站可以直接獲得全套的MATLAB聯(lián)機(jī)幫助文件和說明書的電子文檔,還可以獲得各類技術(shù)支持與幫助。g) 有豐富的工具箱toolbox。各個(gè)領(lǐng)域的專家學(xué)者將眾多學(xué)科領(lǐng)域中常用的算法編寫為一個(gè)個(gè)子程序,即m文件,這些m文件包含在一個(gè)個(gè)工具箱中。其工具箱可以分為兩大類,即功能性工具箱和科學(xué)性工具箱。功能性工具箱主要用來擴(kuò)充MATLAB的符號(hào)計(jì)算、圖形可視化、建模仿真、文字處理等功能以及與硬件實(shí)時(shí)交互的功能。學(xué)科性工具箱是按學(xué)

52、科領(lǐng)域來分類的,如信號(hào)處理、控制、通信、神經(jīng)網(wǎng)絡(luò)圖像處理、系統(tǒng)辨識(shí)、魯棒控制、模糊邏輯、小波等工具箱。MATLAB中的信號(hào)處理工具箱內(nèi)容豐富,使用簡便。在數(shù)字信號(hào)處理中常用的算法,如FFT,卷積,相關(guān),濾波器設(shè)計(jì),參數(shù)模型等,幾乎都只用一條語句即可調(diào)用。數(shù)字信號(hào)處理常用的函數(shù)有波形的產(chǎn)生、濾波器的分析和設(shè)計(jì)、傅里葉變換、Z變換等,如:波形產(chǎn)生的函數(shù):sawtooth(鋸齒波或三角波)Diric(Dirichlet或周期sinc函數(shù))rand(白噪聲信號(hào)波形)square(方波)sinc(sinc或 函數(shù))chirp(chirp信號(hào)波形)濾波器的分析的函數(shù):abs(求幅值)angle(求相角)c

53、onv(求卷積)freqz(數(shù)字濾波器頻率響應(yīng))impz(數(shù)字濾波器的沖擊響應(yīng))zplane(數(shù)字系統(tǒng)零極點(diǎn)圖)IIR濾波器設(shè)計(jì)函數(shù):butter(巴特沃思數(shù)字濾波器)cheby1(切比雪夫I型)cheby2(切比雪夫II型)maxflat(最平濾波器)ellip(橢圓濾波器)yulewalk(遞歸數(shù)字濾波器)bilinear(雙線性變換)impinvar(沖激響應(yīng)不變法)FIR濾波器設(shè)計(jì)函數(shù): triang(三角窗)blackman(布萊克曼窗)boxcar(矩形窗)hamming(海明窗)hanning(漢寧窗)kaiser(凱塞窗)fir1(基于窗函數(shù)法)fir2(基于頻率抽樣法)fir

54、rcos(上升余弦FIR濾波器設(shè)計(jì)法)intfilt(內(nèi)插FIR濾波器設(shè)計(jì)法)kaiserord(用Kaiser窗設(shè)計(jì)FIR濾波器的參數(shù)估計(jì))各種變換的函數(shù):czt(線性調(diào)頻Z變換)dct(離散余弦變換)fft(一維快速傅里葉變換)fft2(二維快速傅里葉變換)idct(逆離散余弦變換)ifft(一維逆快速傅里葉變換)ifft2(二維逆快速傅里葉變換)hilbert(Hilbert變換)3.3 matlab的優(yōu)勢(shì)a.工作平臺(tái)編程環(huán)境十分友好。b.編程語言簡單易用。c.數(shù)據(jù)的計(jì)算處理能力十分強(qiáng)大。d.圖像處理能力強(qiáng)大。e.模塊集合工具箱應(yīng)用廣泛。f.程序的接口和發(fā)布平臺(tái)很實(shí)用。g.可以開發(fā)用戶界

55、面??傊?,可以看出MATLAB是一個(gè)功能十分強(qiáng)大的系統(tǒng),是集數(shù)值計(jì)算、圖形管理、程序開發(fā)為一體的環(huán)境。除此之外,MATLAB還具有很強(qiáng)的功能擴(kuò)展能力,與它的主系統(tǒng)一起,可以配備各種各樣的工具箱,以完成一些特定的任務(wù)。用戶可以根據(jù)自己的工作任務(wù),開發(fā)自己的工具箱。在國際學(xué)術(shù)界,MATLAB已經(jīng)被確認(rèn)為準(zhǔn)確、可靠的科學(xué)計(jì)算標(biāo)準(zhǔn)軟件。在許多國際一流學(xué)術(shù)刊物上,(尤其是信息科學(xué)刊物),都可以看到MATLAB的應(yīng)用。 在設(shè)計(jì)研究單和工業(yè)部門,MATLAB被認(rèn)作進(jìn)行高效研究、開發(fā)的首選軟件工具。如美國National Instruments公司信號(hào)測量、分析軟件LabVIEW,Cadence公司信號(hào)和通信分析設(shè)計(jì)軟件SPW等,或者直接建筑在MATLAB之上,或者以MATLAB為主要支撐。 第4章 利用matlab實(shí)現(xiàn)FIR濾波器設(shè)計(jì)第4章 利用matlab實(shí)現(xiàn)FIR濾波器設(shè)計(jì)4.1 窗函數(shù)法的matlab實(shí)現(xiàn)在用matlab實(shí)現(xiàn)窗函數(shù)中,常使用

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論