單片機(jī)原理及應(yīng)用--單片機(jī)的典型外圍_第1頁(yè)
單片機(jī)原理及應(yīng)用--單片機(jī)的典型外圍_第2頁(yè)
單片機(jī)原理及應(yīng)用--單片機(jī)的典型外圍_第3頁(yè)
單片機(jī)原理及應(yīng)用--單片機(jī)的典型外圍_第4頁(yè)
單片機(jī)原理及應(yīng)用--單片機(jī)的典型外圍_第5頁(yè)
已閱讀5頁(yè),還剩82頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、主講:張松燦河南科技大學(xué)電子信息工程學(xué)院自動(dòng)化系單 片 機(jī) 原 理 與 應(yīng) 用第七章 單片機(jī)的典型外圍接口技術(shù)17.1 鍵盤(pán)接口7.1.1 鍵盤(pán)的工作原理和掃描方式7.1.2 鍵盤(pán)的接口電路7.2 顯示接口7.2.1 LED顯示器的工作原理7.2.2 顯示電路的分類與接口7.3 DAC接口7.3.1 D/A轉(zhuǎn)換器及其接口電路的一般特點(diǎn)7.3.2 D/A轉(zhuǎn)換器的接口電路7.4 ADC接口(207)7.4.1 A/D轉(zhuǎn)換器及與單片機(jī)的接口7.4.2 A/D轉(zhuǎn)換器與單片機(jī)的接口27.1 鍵盤(pán)接口 在計(jì)算機(jī)系統(tǒng)中,為實(shí)現(xiàn)人機(jī)對(duì)話,顯示和鍵盤(pán)是兩個(gè)必不可少的功能配置。 在過(guò)程控制和智能儀器儀表中,通常是

2、用微控制器進(jìn)行實(shí)時(shí)控制及實(shí)時(shí)數(shù)據(jù)處理的。但是計(jì)算機(jī)所能加工和處理的信息是數(shù)字量,而被控和檢測(cè)對(duì)象的有關(guān)參量往往是一些連續(xù)變化的模擬量。因此,模/數(shù)及數(shù)/模轉(zhuǎn)換接口功能配置,也是必不可少的。7.1.1 鍵盤(pán)的工作原理和掃描方式1 鍵盤(pán)的工作原理鍵盤(pán)可以分為兩類:獨(dú)立連接式和矩陣式。31獨(dú)立連接式鍵盤(pán) 是最簡(jiǎn)單的鍵盤(pán)電路,每個(gè)鍵獨(dú)立地接入一根數(shù)據(jù)輸入線,如下圖。 一般情況下,所有的數(shù)據(jù)輸入線都被連接成高電平;當(dāng)有鍵壓下,與之相連的數(shù)據(jù)輸入線將被拉成低電平。要判斷是否有鍵壓下,只要用位處理指令即可判斷是否有鍵按下。優(yōu)點(diǎn):結(jié)構(gòu)簡(jiǎn)單、使用方便,但隨著鍵數(shù)的增多所占用的I/O口線也增加。適用于鍵數(shù)不多的單

3、片機(jī)系統(tǒng)中。4(2) 矩陣式鍵盤(pán)組成矩陣式鍵盤(pán)電路,其組成如下: 鍵盤(pán)開(kāi)關(guān)矩陣; 輸出行線鎖存器; 輸入列線緩沖器。 52、矩陣式鍵盤(pán)的工作過(guò)程CPU先使行線O0線為低,其余行線為高,即0行為“0狀態(tài),其余行均為“1狀態(tài)。CPU讀入輸入緩沖器的狀態(tài),以確定哪條列線為“0狀態(tài)。如此時(shí),假設(shè)I0為“0狀態(tài),那么為“0鍵壓下;假設(shè)I1為“0狀態(tài),那么為“1鍵壓下;等等。假設(shè)輸入緩沖器的狀態(tài)全部為“1狀態(tài),那么CPU繼續(xù)使行線O1為低、其余行線為高。再讀入輸入緩沖器的狀態(tài),以確定哪條列線為“0狀態(tài),從而判斷是哪個(gè)鍵壓下。當(dāng)判斷出哪個(gè)鍵壓下之后,程序轉(zhuǎn)入相應(yīng)的鍵處理程序。這樣的工作過(guò)程,稱為鍵掃描。6鍵

4、掃描的方式有: 程控掃描:CPU的控制一旦進(jìn)入監(jiān)控程序,將反復(fù)不斷地掃描鍵盤(pán),等待輸入命令或數(shù)據(jù)。 定時(shí)掃描:在初始化程序中對(duì)定時(shí)器/計(jì)數(shù)器進(jìn)行編程,使之產(chǎn)生10 ms的定時(shí)中斷,CPU響應(yīng)定時(shí)中斷,執(zhí)行中斷效勞程序,對(duì)鍵盤(pán)掃描一遍,檢查鍵盤(pán)的狀態(tài),實(shí)現(xiàn)對(duì)鍵盤(pán)的定時(shí)掃描。當(dāng)兩遍掃描到鍵位上都有鍵壓下延遲正好為8 ms時(shí),CPU才作鍵處理。 中斷掃描:當(dāng)鍵位上有鍵壓下時(shí),產(chǎn)生中斷請(qǐng)求,CPU響應(yīng)中斷,執(zhí)行中斷效勞程序,判斷鍵位上壓下的鍵的鍵號(hào),繼而作相應(yīng)的處理。77.1.2 鍵盤(pán)的接口電路1、直接使用I/O口的鍵盤(pán)電路 由于80C51的I/O口具有輸出鎖存和輸入緩沖的功能,用它們組成鍵盤(pán)電路時(shí),

5、可以省掉輸出鎖存器和輸入緩沖器。 圖中44的鍵位與80C51的接口電路。鍵位的列線輸入線連到P1口的低4位,行線輸出線連到P1口的高4位,而四根列線那么通過(guò)“與門(mén)相連后,連到INT0端。8 初態(tài)時(shí),全部為0,沒(méi)有鍵壓下時(shí),INT0為高電平;當(dāng)有鍵壓下時(shí),INT0端變?yōu)榈?,向CPU發(fā)出中斷請(qǐng)求。假設(shè)CPU開(kāi)放外部中斷0,那么響應(yīng)中斷、執(zhí)行中斷效勞程序掃描鍵盤(pán)。 在行輸出電路中,每行都串聯(lián)一個(gè)二極管是為防止多鍵同時(shí)壓下,使輸出口短路。92、利用I/O口和譯碼器的接口 利用譯碼器74HC138通過(guò)三根口線獲得8根行線,從而節(jié)省了I/O口線。10圖7-5使用串行口的鍵盤(pán)電路3 利用串行口的鍵盤(pán)電路 用

6、80C51的串行口工作在方式0下,將74HC164的輸出作為行線,P1.1及P1.0為列線的82鍵盤(pán)。117.2.1 LED顯示器的工作原理1、發(fā)光二極管的控制 發(fā)光二極管一般為砷化鎵半導(dǎo)體二極管,其電路如圖7-6所示。7.2 顯示接口Ri為限流電阻,阻值在100-300 之間。12當(dāng)U2=UTTLL時(shí),假設(shè)U1=UTTLH,二極管發(fā)光;假設(shè)U1=UTTLL,二極管不發(fā)光。當(dāng)U2=UTTLH時(shí),U1為任何電平,二極管均不發(fā)光?;蛘?,當(dāng)U1=UTTLH時(shí),假設(shè)U2=UTTLL,二極管發(fā)光;假設(shè)U2=UTTLH,二極管不發(fā)光。當(dāng)U1=UTTLL時(shí),U2為任何電平,二極管均不發(fā)光。 13 2、顯示塊

7、的控制 顯示塊是由假設(shè)干發(fā)光二極管組合而成的,一般的“8字形顯示塊由“a、b、c、d、e、f、g、h8個(gè)發(fā)光二極管組成,每個(gè)發(fā)光二極管稱為一字段。 “8字形顯示塊有共陽(yáng)極和共陰極兩種結(jié)構(gòu)形式。14顯示塊的控制方法,以共陰極顯示塊為例,。 如圖7-7(c)所示,共陰極的電平為Ue,每字段上所加的電平分別為Ua,Ub,Uh,設(shè)某字段的電平為Ui。 當(dāng)Ue=UTTLL時(shí),假設(shè)Ui=UTTLH,該段發(fā)光;假設(shè)Ui=UTTLL,該段不發(fā)光。當(dāng)Ue=UTTLH時(shí),Ui為任何電平,都不發(fā)光。 為獲得不同的字形,顯示塊各段所加的電平也不同,因而編碼也不一樣,如表7-1所示。15表7-1字形與字段關(guān)系16從表中

8、可知: Ue可以實(shí)現(xiàn)對(duì)整個(gè)顯示塊是否發(fā)光的控制,稱字位控制。 Ui可以實(shí)現(xiàn)對(duì)顯示塊中,某一字段的發(fā)光控制,稱字形控制。 為了點(diǎn)亮顯示塊,必須提供字位輸出口和字形輸出口。當(dāng)點(diǎn)亮顯示塊時(shí),通過(guò)每段發(fā)光二極管的電流比較大,因而字位輸出口和字形輸出口必須采用高壓驅(qū)動(dòng)電路。177.2.2 顯示電路的分類與接口 顯示電路一般分為靜態(tài)顯示和動(dòng)態(tài)顯示兩類。1 靜態(tài)顯示電路(1)通過(guò)80C51的P0口的顯示接口 用一個(gè)8位鎖存器和一個(gè)顯示塊組成,需要時(shí)將數(shù)據(jù)通過(guò)P0口送出。但這種電路需用電源的容量大。18(2) 通過(guò)80C51的P0口譯碼驅(qū)動(dòng)的顯示接口 圖中,74HC247為譯碼驅(qū)動(dòng)器,它將輸入的4根數(shù)據(jù)線,譯

9、為8根輸出線,輸出為BCD碼0-9的字形碼。74HC247的驅(qū)動(dòng)能力很強(qiáng),每根輸出線的灌電流可達(dá)20 mA。驅(qū)動(dòng)共陽(yáng)極顯示塊是沒(méi)有問(wèn)題的。192、動(dòng)態(tài)顯示電路 對(duì)于動(dòng)態(tài)顯示電路一般是利用CPU控制電路來(lái)控制顯示塊的導(dǎo)通和截止。 顯示電路由:顯示塊、字形鎖存驅(qū)動(dòng)器及字位鎖存驅(qū)動(dòng)器組成。工作過(guò)程: 將字形代碼送入字形鎖存器鎖存,這時(shí)所有的顯示塊都有可能顯示同樣的字符;再將需要顯示的位置送入字位鎖存器鎖存。 為防止閃爍,顯示的時(shí)間在1-2ms。201通過(guò)P0和P1口的接口 MOV A,#字形編碼 MOV P1,A ;從P1口輸出字形 MOV A,#01H ;輸出字位碼,點(diǎn)亮最右邊一位 MOVX R0

10、,A ;通過(guò)P0輸出字位,并鎖存212鍵盤(pán)和顯示電路 在矩陣式鍵盤(pán)電路中有:行線鎖存器和列線緩沖器;在LED顯示電路中有:字形輸出鎖存器及字位輸出鎖存器。 在單片機(jī)的接口電路中,為了節(jié)省口線,常將兩者結(jié)合在一起,而形成共享鎖存器的電路。下面舉例說(shuō)明。例7.1. 通過(guò)P1口及譯碼器的接口電路。 圖7-13示出的是通過(guò)P1口及譯碼器的鍵盤(pán)和顯示接口電路。這里由P1口的準(zhǔn)雙向口功能可以實(shí)現(xiàn)一口多用。22圖713 通過(guò)P1口及譯碼器的鍵盤(pán)和顯示接口電路23 首先,使P1口的低4位輸出字形代碼;P1口的高4位輸出一個(gè)位掃描字,經(jīng)3-8譯碼器后顯示某一位,并保持1 ms。各位掃描一遍之后,關(guān)掉顯示。 其次

11、,使P1口的高4位轉(zhuǎn)為輸入方式,使P1口的低4位輸出鍵掃描信號(hào),有鍵壓下時(shí),轉(zhuǎn)入鍵譯碼和處理程序。 整個(gè)掃描一遍鍵盤(pán)約需十幾微秒(s)。24例7.2. 通過(guò)P0和P1口的接口電路。 圖7-14是通過(guò)P0和P1口的鍵盤(pán)和顯示接口電路。圖中顯示的字位輸出和鍵盤(pán)的行輸出是兩個(gè)電路共享的。25例7.3. 通過(guò)串行口的接口電路。圖715 通過(guò)串行口的鍵盤(pán)和顯示接口電路267.3 DAC接口 計(jì)算機(jī)所處理的信息是數(shù)字量,而被測(cè)或被控對(duì)象的有關(guān)參量往往是一些連續(xù)變化的模擬量,如溫度、壓力、流量、速度及加速度等。因此,必須將模擬量轉(zhuǎn)換成數(shù)字量,以便計(jì)算機(jī)進(jìn)行處理。 模擬量轉(zhuǎn)換成數(shù)字量的過(guò)程稱為模擬-數(shù)字轉(zhuǎn)換A

12、/D轉(zhuǎn)換,使用的轉(zhuǎn)換器件稱為A/D轉(zhuǎn)換器。 實(shí)際應(yīng)用中,計(jì)算機(jī)處理的結(jié)果往往也需要轉(zhuǎn)換成模擬量,以便實(shí)現(xiàn)對(duì)被控對(duì)象的控制。數(shù)字量轉(zhuǎn)換成模擬量的過(guò)程稱為數(shù)字-模擬轉(zhuǎn)換D/A轉(zhuǎn)換,使用的轉(zhuǎn)換器件稱為D/A轉(zhuǎn)換器。 這里介紹各種不同的A/D和D/A轉(zhuǎn)換器與80C51單片機(jī)的接口方法,以及相應(yīng)工作程序的設(shè)計(jì)特點(diǎn)。277.3.1 D/A轉(zhuǎn)換器及其接口電路的一般特點(diǎn)1、D/A轉(zhuǎn)換器 將數(shù)字信號(hào)轉(zhuǎn)換成模擬信號(hào)的器件,為計(jì)算機(jī)系統(tǒng)和模擬環(huán)境的連續(xù)信號(hào)之間提供一種接口。 輸出由數(shù)字輸入和參考源Vref組合進(jìn)行控制的。 數(shù)/模轉(zhuǎn)換器的數(shù)字輸入是二進(jìn)制或BCD碼,輸出是電流或電壓,多數(shù)是電流。 在多數(shù)電路中,數(shù)/模

13、轉(zhuǎn)換器的輸出需要用運(yùn)算放大器組成的電流-電壓轉(zhuǎn)換器將電流輸出轉(zhuǎn)換成電壓輸出。282、數(shù)/模轉(zhuǎn)換器接口電路的一般特點(diǎn) 數(shù)據(jù)線上的數(shù)據(jù)是變動(dòng)的,為保持輸出穩(wěn)定,在微處理器與數(shù)/模轉(zhuǎn)換器輸入口之間增加鎖存數(shù)據(jù)的功能。 根據(jù)數(shù)/模轉(zhuǎn)換器輸入口是否具有鎖存器可將其分為兩類。(1) 內(nèi)部無(wú)鎖存器,如DAC8008位、AD752010 位、AD752112位。 結(jié)構(gòu)簡(jiǎn)單,內(nèi)部不帶鎖存器。最適合與單片機(jī)80C51的P1、P2等具有輸出鎖存功能的I/O口直接接口。但是當(dāng)它們與P0口相接口時(shí),那么需在其輸入端增加鎖存器。對(duì)于高位的數(shù)/模轉(zhuǎn)換器,其接口可如圖7-17所示。29 圖7-17a所示的接口由于兩次送數(shù)有時(shí)

14、間延遲,可能在數(shù)/模轉(zhuǎn)換器的輸出中產(chǎn)生假信號(hào),最好還是采用圖7-17b所示的接口,但是接口電路比較復(fù)雜。30(2) 內(nèi)部帶鎖存器 一些數(shù)/模轉(zhuǎn)換器,不僅具有數(shù)據(jù)鎖存器,而且還提供地址譯碼電路,有些包含雙重,甚至多重的數(shù)據(jù)緩沖結(jié)構(gòu),如DAC0832、DAC1210、AD7542以及AD7549等。 這種類型的數(shù)/模轉(zhuǎn)換器以高于8位如12位的居多。這類數(shù)/模轉(zhuǎn)換器以與80C51中的P0口相接口較為適宜,一般這時(shí)需要占用多根口線。317.3.2 D/A轉(zhuǎn)換器的接口電路1、通過(guò)P1、P3等I/O口的接口(1)12位數(shù)/模轉(zhuǎn)換器-AD7542 AD7542是雙緩沖并行送數(shù)的12位CMOS乘法式數(shù)/模轉(zhuǎn)換

15、器。它由三個(gè)4位數(shù)據(jù)存放器、一個(gè)12位DAC存放器、地址譯碼邏輯和12位DAC所組成。321A0、A1提供各存放器的地址。2CLR為清零端,當(dāng)其低電平有效時(shí),使所有的存放器都復(fù)位為0。3CS為片選端,輸入,低電平有效。4WR為寫(xiě)信號(hào),輸入,低電平有效。各信號(hào)的功能見(jiàn)表7-2。33注: 表中1為高電平,0為低電平,為任意電平,表示由低電平轉(zhuǎn)向高電平。 表7-2 AD7542數(shù)/模轉(zhuǎn)換器信號(hào)的功能34(2)AD7542與80C51的接口 AD7542與80C51的接口電路非常簡(jiǎn)單,見(jiàn)圖。用P1口的與數(shù)據(jù)線D3-D0相連,P1.5、P1.4與A1、A0相連,P1.6用做片選CS,P3.0用做WR信號(hào)

16、。35(3) 編程 數(shù)據(jù)存放在片內(nèi)RAM的20H-21H單元中,20H中為高4位OUH,21H中為低8位VWH存放器地址存放在R2中,子程序ADDRS將R2中的存放器地址形成有效的地址向器件加載。 子程序DATA用于將數(shù)據(jù)加載到指定的存放器。 ORG 100HDACLOAD: CLR P1.6 ;片選有效 MOV R2,#0 ;指向L段存放器 MOV R0,#21H ;指向數(shù)據(jù)區(qū) LCALL ADDRS ;輸出L段存放器地址 MOV A,R0 ;取數(shù)據(jù) LCALL DATA INC R2 ;指向M字段存放器 MOV A,R0 ;取數(shù)據(jù) SWAP A LCALL DATA 36 INC R2 L

17、CALL ADDRS ;輸出H字節(jié)存放器地址 DEC R0 MOV A,R0 LCALL DATA CLR P3.0 SETB P3.0 SETB P1.6 RET ORG 200H ADDRS: MOV A,R2 SWAP A ORL P1,A RET ; 37DATA: ANL A,#0FH;保存低4位 ORL P1,A ;產(chǎn)生存放器地址 CLR P3.0 ;產(chǎn)生信號(hào) SETB P3.0 RET382、通過(guò)P0口的接口 內(nèi)部有鎖存器的數(shù)/模轉(zhuǎn)換器,通過(guò)P0口與80C51的接口最為方便。(1)8位數(shù)/模轉(zhuǎn)換器的接口8位數(shù)/模轉(zhuǎn)換器DAC0832 DAC0832芯片內(nèi)有一個(gè)8位輸入存放器和一個(gè)

18、8位DAC存放器,形成兩級(jí)緩沖結(jié)構(gòu)??墒笵AC轉(zhuǎn)換輸出前一個(gè)數(shù)據(jù)的同時(shí),將下一個(gè)數(shù)據(jù)傳送到8位輸入存放器,提高數(shù)/模轉(zhuǎn)換的速度。更重要的是,能夠在多個(gè)數(shù)/模轉(zhuǎn)換器分時(shí)輸入數(shù)據(jù)之后,同時(shí)輸出模擬電壓。39DAC0832的結(jié)構(gòu)8位輸入寄存器8位DAC寄存器8位D/A轉(zhuǎn)換器DI0DI1DI2DI3DI4DI5DI6DI7+ILECSWR1XFERWR2VREFIOUT1IOUT2DGNDLE1LE2 8位輸入存放器由8個(gè)D鎖存器組成,用來(lái)作為輸入數(shù)據(jù)的緩沖存放器。 它的8個(gè)數(shù)據(jù)輸入可以直接和微機(jī)的數(shù)據(jù)總線相連。LE1為其控制輸入,LE1=1時(shí),D觸發(fā)器接收信號(hào),IE1=0時(shí),為鎖存狀態(tài)。 8位DAC

19、存放器它也由8個(gè)D鎖存器組成。8位輸人數(shù)據(jù)只有經(jīng)過(guò)DAC存放器才能送到DA轉(zhuǎn)換器去轉(zhuǎn)換。 它的控制端為L(zhǎng)E2,當(dāng)LE2=1時(shí),輸出跟隨輸入,而當(dāng)LE2=0時(shí)為鎖存狀態(tài)。DAC存放器的輸出直接送到8位DA轉(zhuǎn)換器進(jìn)行數(shù)模轉(zhuǎn)換。 LE1=1的條件: ILE=1,WR1=0,CS=0 LE2=1的條件: WR2=0,XFER=0Rfb40DAC0832的引腳DAC0832是CMOS工藝,雙列直插式20引腳。 VCC電源可以在5-15V內(nèi)變化。典型使用時(shí)用15V電源。 AGND為模擬量地線,DGND為數(shù)字量地線,使用時(shí),這兩個(gè)接地端應(yīng)始終連在一起。 參考電壓VREF接外部的標(biāo)準(zhǔn)電源,VREF一般可在+1

20、0V到10V范圍內(nèi)選用。 8位輸入寄存器8位DAC寄存器8位D/A轉(zhuǎn)換器DI0DI1DI2DI3DI4DI5DI6DI7+ILECSWR1XFERWR2VREFIOUT1IOUT2DGNDLE1LE2Rfb41DAC0832的引腳8位輸入寄存器8位DAC寄存器8位D/A轉(zhuǎn)換器DI0DI1DI2DI3DI4DI5DI6DI7+ILECSWR1XFERWRVREFIOUT1IOUT2DGNDLE1LE2DAC0832是CMOS工藝,雙列直插式20引腳。 VCC電源可以在5-15V內(nèi)變化。典型使用時(shí)用15V電源。 AGND為模擬量地線,DGND為數(shù)字量地線,使用時(shí),這兩個(gè)接地端應(yīng)始終連在一起。 參考

21、電壓VREF接外部的標(biāo)準(zhǔn)電源,VREF一般可在+10V到10V范圍內(nèi)選用。 它的輸出是與數(shù)字量成比例的電流,Vref為參考電壓輸入,Rfb為運(yùn)算放大器的反響電阻,引腳Rfb那么是這個(gè)反響電阻瑞,接到運(yùn)算放大器的輸出端。 Rfb42DAC0832的引腳8位輸入寄存器8位DAC寄存器8位D/A轉(zhuǎn)換器DI0DI1DI2DI3DI4DI5DI6DI7+ILECSWR1XFERWRVREFIOUT1IOUT2DGNDLE1LE2DAC0832是CMOS工藝,雙列直插式20引腳。 VCC電源可以在5-15V內(nèi)變化。典型使用時(shí)用15V電源。 AGND為模擬量地線,DGND為數(shù)字量地線,使用時(shí),這兩個(gè)接地端應(yīng)

22、始終連在一起。 參考電壓VREF接外部的標(biāo)準(zhǔn)電源,VREF一般可在+10V到10V范圍內(nèi)選用。 DAC0832有兩個(gè)電流輸出端:loutl為DAC電流輸出1,當(dāng)DAC存放器中為全1時(shí),輸出電流最大,當(dāng)DAC存放器中為全0時(shí),輸出電流為0。lout2為DAC電流輸出2,Iout2為一常數(shù)與Ioutl之差,即loutl+out2=常數(shù)在實(shí)際使用時(shí),總是將電流轉(zhuǎn)為電壓來(lái)使用,即將Ioutl和lout2加到一個(gè)運(yùn)算放大器的輸入。Rfb43DAC0832的引腳8位輸入寄存器8位DAC寄存器8位D/A轉(zhuǎn)換器DI0DI1DI2DI3DI4DI5DI6DI7+ILECSWR1XFERWRVREFIOUT1IO

23、UT2DGNDLE1LE2DAC0832是CMOS工藝,雙列直插式20引腳。 VCC電源可以在5-15V內(nèi)變化。典型使用時(shí)用15V電源。 AGND為模擬量地線,DGND為數(shù)字量地線,使用時(shí),這兩個(gè)接地端應(yīng)始終連在一起。 參考電壓VREF接外部的標(biāo)準(zhǔn)電源,VREF一般可在+10V到10V范圍內(nèi)選用。 DI0DI7是數(shù)字量輸入信號(hào)線??梢灾苯雍臀C(jī)的數(shù)據(jù)總線相連。Rfb44DAC0832的引腳8位輸入寄存器8位DAC寄存器8位D/A轉(zhuǎn)換器DI0DI1DI2DI3DI4DI5DI6DI7+ILECSWR1XFERWRVREFIOUT1IOUT2DGNDLE1LE2DAC0832是CMOS工藝,雙列直

24、插式20引腳。 VCC電源可以在5-15V內(nèi)變化。典型使用時(shí)用15V電源。 AGND為模擬量地線,DGND為數(shù)字量地線,使用時(shí),這兩個(gè)接地端應(yīng)始終連在一起。 參考電壓VREF接外部的標(biāo)準(zhǔn)電源,VREF一般可在+10V到10V范圍內(nèi)選用。 ILE:輸入鎖存允許信號(hào),高電平有效。只有當(dāng)ILE=1時(shí),輸人數(shù)字量才可能進(jìn)入8位輸入存放器。 Rfb45DAC0832的引腳8位輸入寄存器8位DAC寄存器8位D/A轉(zhuǎn)換器DI0DI1DI2DI3DI4DI5DI6DI7+ILECSWR1XFERWRVREFIOUT1IOUT2DGNDLE1LE2DAC0832是CMOS工藝,雙列直插式20引腳。 VCC電源可

25、以在5-15V內(nèi)變化。典型使用時(shí)用15V電源。 AGND為模擬量地線,DGND為數(shù)字量地線,使用時(shí),這兩個(gè)接地端應(yīng)始終連在一起。 參考電壓VREF接外部的標(biāo)準(zhǔn)電源,VREF一般可在+10V到10V范圍內(nèi)選用。 CS:片選輸入,低電子有效。只有當(dāng)WR1CS=0時(shí),這片0832才被選中工作。Rfb46DAC0832的引腳8位輸入寄存器8位DAC寄存器8位D/A轉(zhuǎn)換器DI0DI1DI2DI3DI4DI5DI6DI7+ILECSWR1XFERWRVREFIOUT1IOUT2DGNDLE1LE2DAC0832是CMOS工藝,雙列直插式20引腳。 VCC電源可以在5-15V內(nèi)變化。典型使用時(shí)用15V電源。

26、 AGND為模擬量地線,DGND為數(shù)字量地線,使用時(shí),這兩個(gè)接地端應(yīng)始終連在一起。 參考電壓VREF接外部的標(biāo)準(zhǔn)電源,VREF一般可在+10V到10V范圍內(nèi)選用。 WR1:寫(xiě)信號(hào)1,低電平有效,控制輸入存放器的寫(xiě)入。 Rfb47DAC0832的引腳8位輸入寄存器8位DAC寄存器8位D/A轉(zhuǎn)換器DI0DI1DI2DI3DI4DI5DI6DI7+ILECSWR1XFERWRVREFIOUT1IOUT2DGNDLE1LE2DAC0832是CMOS工藝,雙列直插式20引腳。 VCC電源可以在5-15V內(nèi)變化。典型使用時(shí)用15V電源。 AGND為模擬量地線,DGND為數(shù)字量地線,使用時(shí),這兩個(gè)接地端應(yīng)始

27、終連在一起。 參考電壓VREF接外部的標(biāo)準(zhǔn)電源,VREF一般可在+10V到10V范圍內(nèi)選用。 XFER:傳送控制信號(hào),低電子有效??刂茢?shù)據(jù)從輸入存放器到DAC存放器的傳送。 Rfb48DAC0832的引腳8位輸入寄存器8位DAC寄存器8位D/A轉(zhuǎn)換器DI0DI1DI2DI3DI4DI5DI6DI7+ILECSWR1XFERWR2VREFIOUT1IOUT2DGNDLE1LE2DAC0832是CMOS工藝,雙列直插式20引腳。 VCC電源可以在5-15V內(nèi)變化。典型使用時(shí)用15V電源。 AGND為模擬量地線,DGND為數(shù)字量地線,使用時(shí),這兩個(gè)接地端應(yīng)始終連在一起。 參考電壓VREF接外部的標(biāo)準(zhǔn)

28、電源,VREF一般可在+10V到10V范圍內(nèi)選用。 WR2:寫(xiě)信號(hào)2,低電平有效,控制DAC存放器的寫(xiě)人。 Rfb49DAC0832的接口DAC0832轉(zhuǎn)換器有三種工作方式:直通方式:兩個(gè)8位數(shù)據(jù)存放器都處于數(shù)據(jù)接收狀態(tài),即LEI和IE2都為1。輸入數(shù)據(jù)直接送到內(nèi)部DA轉(zhuǎn)換器去轉(zhuǎn)換。單緩沖方式:兩個(gè)8位數(shù)據(jù)存放器中有一個(gè)處于直通方式(數(shù)據(jù)接收狀態(tài)),而另一個(gè)那么受微機(jī)送來(lái)的控制信號(hào)控制。在單緩沖工作方式時(shí),0832中兩個(gè)數(shù)據(jù)存放器有一個(gè)處于直通方式,一般都是將8位DAC存放器置于直通方式。雙緩沖方式:兩個(gè)8位數(shù)據(jù)存放器都不處于直通方式,單片機(jī)或其他微機(jī)必須送兩次寫(xiě)信號(hào)才能完成一次DA轉(zhuǎn)換。50

29、DAC0832的接口直通方式直通方式:兩個(gè)8位數(shù)據(jù)存放器都處于數(shù)據(jù)接收狀態(tài),即LEI和IE2都為1。因此,IEL =1,而CS、WRl、WR2和XFER為0。輸入數(shù)據(jù)直接送到內(nèi)部DA轉(zhuǎn)換器去轉(zhuǎn)換。這種方式可用于一些不帶微機(jī)的控制系統(tǒng)中。51DAC0832的接口單緩沖方式單緩沖方式:這時(shí)兩個(gè)8位數(shù)據(jù)存放器中有一個(gè)處于直通方式(數(shù)據(jù)接收狀態(tài)),而另一個(gè)那么受微機(jī)送來(lái)的控制信號(hào)控制。在單緩沖工作方式時(shí),0832中兩個(gè)數(shù)據(jù)存放器有一個(gè)處于直通方式,一般都是將8位DAC存放器置于直通方式。為此,應(yīng)將WR2和XFER固定接零。而輸入存放器是工作于鎖存器狀態(tài),它對(duì)于8031單片機(jī)來(lái)說(shuō),相當(dāng)于一個(gè)外部RAM單

30、元。 52DAC0832的接口雙緩沖方式雙緩沖方式:兩個(gè)8位數(shù)據(jù)存放器都不處于直通方式,單片機(jī)或其他微機(jī)必須送兩次寫(xiě)信號(hào)才能完成一次DA轉(zhuǎn)換。假設(shè)采用雙緩沖方式,那么DAC0832應(yīng)被看作是外部RAM的兩個(gè)單元而不是一個(gè)單元。 53DAC0832的應(yīng)用 數(shù)模轉(zhuǎn)換器可以應(yīng)用在許多場(chǎng)合,這里介紹用DA轉(zhuǎn)換器來(lái)產(chǎn)生各種波形。鋸齒波的產(chǎn)生三角波的產(chǎn)生 梯形波的產(chǎn)生 54 鋸齒波分正向鋸齒波和負(fù)向鋸齒波。正向鋸齒波應(yīng)用廣泛。在許多控制應(yīng)用中,要求有一個(gè)線性增長(zhǎng)的電壓正向鋸齒來(lái)控制檢測(cè)過(guò)程,移動(dòng)記錄筆或移動(dòng)電子束等。波形如下圖: 產(chǎn)生正向鋸齒波的方法:通過(guò)在DAC0832的輸出端接運(yùn)算放大器,由運(yùn)算放大器

31、產(chǎn)生鋸齒波來(lái)實(shí)現(xiàn)。 DAC0832的輸入存放器的地址為7FFFH : 鋸齒波的產(chǎn)生 MOV DPTR,#7FFFH MOV A,#00H WW: MOVX DPTR,A INC A NOP NOP NOP AJMP WW思 考55思考1:以下程序?qū)a(chǎn)生何種波形? MOV DPTR,#7FFFH MOV A,#00H WW: MOVX DPTR,A DEC A NOP NOP NOP AJMP WW思 考思考2:編程產(chǎn)生如下鋸齒波4V1V MOV DPTR,#7FFFHWW1:MOV A,#33H WW: MOVX DPTR,A INC A LCALL D1ms CJNE A,#0CDH,WW

32、AJMP WW1D1ms: MOV R7,#250 DJNZ R7, RET56 三角波是由兩段直線組成的,先送出一個(gè)線性增長(zhǎng)的波形,到達(dá)最大值時(shí),再進(jìn)出一個(gè)線性減少的波形,兩者結(jié)合,就成為三角波。然后使之不斷地重復(fù),就能得到一個(gè)連續(xù)的波形。 實(shí)際上這里所說(shuō)的線性波形仍是一些臺(tái)階很小的階梯波形。為了更逼近線性增長(zhǎng),應(yīng)使臺(tái)階的幅度盡可能小(1位LSB),并且整個(gè)波形中臺(tái)階的高度和寬度應(yīng)保持不變。為此,要特別注意轉(zhuǎn)折處的處理,防止出現(xiàn)臺(tái)階的寬度變寬或其他影響波形線性的現(xiàn)象出現(xiàn)。三角波的產(chǎn)生 START:CLR A UP:MOV P1,A INC A JNZ UP MOV A,#254 DOWN:M

33、OV P1,A DEC A JNZ DOWN SJMP UP57 梯形波有多種形式,波形如下圖: 實(shí)現(xiàn)方法與鋸齒波和三角波相似。梯形波的產(chǎn)生 58 7.4 ADC接口7.4.1 A/D轉(zhuǎn)換器及與單片機(jī)的接口1、模/數(shù)轉(zhuǎn)換器 模/數(shù)轉(zhuǎn)換器是將連續(xù)的模擬信號(hào)轉(zhuǎn)換成適合于數(shù)字處理的二進(jìn)制數(shù)的器件,其原理框圖如圖7-29所示。 59由圖中可以看出,模/數(shù)轉(zhuǎn)換器的輸入有兩種: 模擬輸入信號(hào)Vin和參考電壓Vref; 其輸出是一組二進(jìn)制數(shù)。 可以認(rèn)為,模/數(shù)轉(zhuǎn)換器是一個(gè)將模擬信號(hào)值編制成對(duì)應(yīng)的二進(jìn)制碼的編碼器。與此對(duì)應(yīng),數(shù)/模轉(zhuǎn)換器那么是一個(gè)解碼器。 常用的模/數(shù)轉(zhuǎn)換器有:雙積分式、逐位比較式及并行直接比

34、較式等幾種。602、與單片機(jī)接口的一般特點(diǎn) 一個(gè)完整的模/數(shù)轉(zhuǎn)換器應(yīng)該包含: 模擬輸入信號(hào)Vin和參考電壓Vref; 數(shù)字輸出信號(hào); 啟動(dòng)轉(zhuǎn)換信號(hào),輸入; 轉(zhuǎn)換完成結(jié)束信號(hào)或者“忙信號(hào),輸出; 數(shù)據(jù)輸出允許信號(hào),輸入。 61 為了與單片機(jī)接口,必須設(shè)置圖7-30b所示的一些數(shù)據(jù)輸入接口、狀態(tài)輸入接口及控制輸出接口等。 首先,單片機(jī)通過(guò)控制口發(fā)出啟動(dòng)轉(zhuǎn)換信號(hào),命令模/數(shù)轉(zhuǎn)換器開(kāi)始轉(zhuǎn)換。然后單片機(jī)再通過(guò)狀態(tài)口讀入轉(zhuǎn)換器的狀態(tài),判斷它是否轉(zhuǎn)換結(jié)束,一旦轉(zhuǎn)換結(jié)束,CPU發(fā)出數(shù)據(jù)輸出允許信號(hào),將轉(zhuǎn)換完成的數(shù)據(jù)讀入。 80C51單片機(jī)有極強(qiáng)的I/O口和位操作指令,為模數(shù)轉(zhuǎn)換器的接口提供方便,簡(jiǎn)化了接口電路

35、。627.4.2 A/D轉(zhuǎn)換器與單片機(jī)的接口1. 通過(guò)P0口的接口1與8位模/數(shù)轉(zhuǎn)換器的接口 1)8位模/數(shù)轉(zhuǎn)換器-ADC0809 ADC0809采用的是CMOS工藝制成的8位8通道模/數(shù)轉(zhuǎn)換器,采用28腳DIP封裝,其結(jié)構(gòu)原理框圖和引腳分配是于圖732和圖733中63ADC0809的結(jié)構(gòu)與引腳 ADC0809是一種8路模擬輸入8路數(shù)字輸出的逐次比較型A/D轉(zhuǎn)換器。目前在8位單片機(jī)系統(tǒng)中有著廣泛的使用。ADC0809芯片為28引腳雙列直插式封裝。64ADC0809的引腳ADC0809芯片為28引腳雙列直插式封裝。地址鎖存與譯碼8位A/D轉(zhuǎn)換器輸出鎖存與緩沖IN0IN1IN2IN3IN4IN5D

36、0D1D2D3D4D5D6D7IN6IN7ADDBADDAADDCALEOESTARTEOCVref+Vref-IN7IN0:模擬量輸入通道。ADC0809對(duì)輸入模擬量的要求主要有:信號(hào)單極性,電壓范圍05 V,假設(shè)信號(hào)過(guò)小還需進(jìn)行放大。另外,在A/D轉(zhuǎn)換過(guò)程中,模擬量輸入的值不應(yīng)變化太快,因此,對(duì)變化速度快的模擬量,在輸入前應(yīng)增加采樣保持電路。CLOCK65ADC0809的引腳地址鎖存與譯碼8位A/D轉(zhuǎn)換器輸出鎖存與緩沖IN0IN1IN2IN3IN4IN5D0D1D2D3D4D5D6D7IN6IN7ADDBADDAADDCALEOESTARTEOCVref+Vref-ADDA、ADDB、AD

37、DC:地址線。ADDA為低位地址,ADDC為高位地址,用于對(duì)模擬通道進(jìn)行選擇。 地址狀態(tài)與通道相對(duì)應(yīng)的關(guān)系表CLOCKADC0809芯片為28引腳雙列直插式封裝。66通道選擇表 地址狀態(tài)與通道相對(duì)應(yīng)的關(guān)系表ADDC ADDB ADDA 選擇的通道0000 0 10 1 00 1 11 0 01 0 1 1 1 0 1 1 1 IN0IN1IN2IN3IN4IN5IN6IN767ADC0809的引腳ADC0809芯片為28引腳雙列直插式封裝。地址鎖存與譯碼8位A/D轉(zhuǎn)換器輸出鎖存與緩沖IN0IN1IN2IN3IN4IN5D0D1D2D3D4D5D6D7IN6IN7ADDBADDAADDCALEO

38、ESTARTEOCVref+Vref-ALE:地址鎖存允許信號(hào)。在對(duì)應(yīng)ALE上跳沿,ADDA、ADDB、ASSC地址狀態(tài)送入地址鎖存器中。CLOCK68ADC0809的引腳ADC0809芯片為28引腳雙列直插式封裝。地址鎖存與譯碼8位A/D轉(zhuǎn)換器輸出鎖存與緩沖IN0IN1IN2IN3IN4IN5D0D1D2D3D4D5D6D7IN6IN7ADDBADDAADDCALEOESTARTEOCVref+Vref-START:轉(zhuǎn)換啟動(dòng)信號(hào)。START上跳沿時(shí),所有內(nèi)部存放器清0;START下跳沿時(shí),開(kāi)始進(jìn)行A/D轉(zhuǎn)換;在A/D轉(zhuǎn)換期間,START應(yīng)保持低電平。CLOCK69ADC0809的引腳ADC0

39、809芯片為28引腳雙列直插式封裝。地址鎖存與譯碼8位A/D轉(zhuǎn)換器輸出鎖存與緩沖IN0IN1IN2IN3IN4IN5D0D1D2D3D4D5D6D7IN6IN7ADDBADDAADDCALEOESTARTEOCVref+Vref-D7D0:數(shù)據(jù)輸出線。其為三態(tài)緩沖輸出形式,可以和單片機(jī)的數(shù)據(jù)線直接相連。CLOCK70ADC0809的引腳ADC0809芯片為28引腳雙列直插式封裝。地址鎖存與譯碼8位A/D轉(zhuǎn)換器輸出鎖存與緩沖IN0IN1IN2IN3IN4IN5D0D1D2D3D4D5D6D7IN6IN7ADDBADDAADDCALEOESTARTEOCVref+Vref-OE:輸出允許信號(hào)。其用

40、于控制三態(tài)輸出鎖存器向單片機(jī)輸出轉(zhuǎn)換得到的數(shù)據(jù)。OE=0,輸出數(shù)據(jù)線呈高電阻;OE=1,輸出轉(zhuǎn)換得到的數(shù)據(jù)。CLOCK71ADC0809的引腳ADC0809芯片為28引腳雙列直插式封裝。地址鎖存與譯碼8位A/D轉(zhuǎn)換器輸出鎖存與緩沖IN0IN1IN2IN3IN4IN5D0D1D2D3D4D5D6D7IN6IN7ADDBADDAADDCALEOESTARTEOCVref+Vref-EOC:轉(zhuǎn)換結(jié)束狀態(tài)信號(hào)。EOC=0,正在進(jìn)行轉(zhuǎn)換;EOC=1,轉(zhuǎn)換結(jié)束。該狀態(tài)信號(hào)既可作為查詢的狀態(tài)標(biāo)志,又可以作為中斷請(qǐng)求信號(hào)使用。CLOCK72ADC0809的引腳ADC0809芯片為28引腳雙列直插式封裝。地址鎖

41、存與譯碼8位A/D轉(zhuǎn)換器輸出鎖存與緩沖IN0IN1IN2IN3IN4IN5D0D1D2D3D4D5D6D7IN6IN7ADDBADDAADDCALEOESTARTEOCVref+Vref-CLOCK:時(shí)鐘信號(hào)。ADC0809的內(nèi)部沒(méi)有時(shí)鐘電路,所需時(shí)鐘信號(hào)由外界提供,因此有時(shí)鐘信號(hào)引腳。通常使用頻率為500kHz的時(shí)鐘信號(hào)。CLOCK73ADC0809的引腳ADC0809芯片為28引腳雙列直插式封裝。地址鎖存與譯碼8位A/D轉(zhuǎn)換器輸出鎖存與緩沖IN0IN1IN2IN3IN4IN5D0D1D2D3D4D5D6D7IN6IN7ADDBADDAADDCALEOESTARTEOCVref+Vref-V

42、ref:參考電源。參考電壓用來(lái)與輸入的模擬信號(hào)進(jìn)行比較,作為逐次逼近的基準(zhǔn)。其典型值為+5 VVref (+) =+5 V,Vref(-) =0 VCLOCK74ADC0809的接口 ADC0809與89C51單片機(jī)的連接方式很多。電路連接主要涉及兩個(gè)問(wèn)題,一是8路模擬信號(hào)通道選擇,二是A/D轉(zhuǎn)換完成后轉(zhuǎn)換數(shù)據(jù)的傳送。地址鎖存與譯碼8位A/D轉(zhuǎn)換器輸出鎖存與緩沖IN0IN1IN2IN3IN4IN5D0D1D2D3D4D5D6D7IN6IN7ADDBADDAADDCALEOESTARTEOCVref+Vref-CLOCK75ADC0809的接口 8路模擬信號(hào)通道選擇線的連接方法有2種:與DB連接

43、和與AB連接。地址鎖存與譯碼8位A/D轉(zhuǎn)換器輸出鎖存與緩沖IN0IN1IN2IN3IN4IN5D0D1D2D3D4D5D6D7IN6IN7ADDBADDAADDCALEOESTARTEOCVref+Vref-CLOCK76ADC0809的接口方法77ADC0809的接口方法AB0AB1AB278ADC0809的接口 A/D轉(zhuǎn)換后得到的是數(shù)字量的數(shù)據(jù),這些數(shù)據(jù)應(yīng)傳送給單片機(jī)進(jìn)行處理。數(shù)據(jù)傳送的關(guān)鍵問(wèn)題是如何確認(rèn)A/D轉(zhuǎn)換完成,因?yàn)橹挥写_認(rèn)數(shù)據(jù)轉(zhuǎn)換完成后,才能進(jìn)行傳送。為此,可采用下述三種方式。 1) 定時(shí)傳送方式 2) 查詢方式 3) 中斷方式79定時(shí)傳送方式 對(duì)于一種A/D轉(zhuǎn)換器來(lái)說(shuō),轉(zhuǎn)換時(shí)間作為一項(xiàng)技術(shù)指標(biāo)是的和固定的。例如,ADC0809轉(zhuǎn)換時(shí)間為128 s,相當(dāng)于6 MHz的MCS-51單片機(jī)64個(gè)機(jī)器周期。可據(jù)此設(shè)計(jì)一個(gè)延時(shí)子程序,A/D轉(zhuǎn)換啟動(dòng)后即調(diào)用這個(gè)延時(shí)子程序,延遲時(shí)間一到,轉(zhuǎn)換肯定已經(jīng)完成了,接著就可進(jìn)行數(shù)據(jù)傳送。 在這種方式下,EOC引腳懸空。80查詢傳送方式 單片機(jī)啟動(dòng)0809后,延遲10us,檢測(cè)EOC,假設(shè)EOC=0那么A/D轉(zhuǎn)換沒(méi)有結(jié)束,繼續(xù)檢測(cè)EOC,直到EOC=1。當(dāng)EOC=1時(shí),A/D轉(zhuǎn)換已經(jīng)結(jié)束,單片機(jī)讀取A/D轉(zhuǎn)換結(jié)果。 在這種方式下,EOC必須

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論