微機原理第八章_第1頁
微機原理第八章_第2頁
微機原理第八章_第3頁
微機原理第八章_第4頁
微機原理第八章_第5頁
已閱讀5頁,還剩77頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領

文檔簡介

1、第第8 8章章 模模/ /數(shù)和數(shù)數(shù)和數(shù)/ /模轉(zhuǎn)換模轉(zhuǎn)換8.1 概述數(shù)字信號數(shù)字信號模擬信號模擬信號現(xiàn)場信號現(xiàn)場信號1現(xiàn)場信號現(xiàn)場信號2現(xiàn)場信號現(xiàn)場信號n微型微型計算機計算機放大器放大器放大器放大器放大器放大器多多路路開開關關低通濾波低通濾波傳感器傳感器低通濾波低通濾波傳感器傳感器低通濾波低通濾波傳感器傳感器A/D轉(zhuǎn)換器轉(zhuǎn)換器采樣保持器采樣保持器數(shù)字信號數(shù)字信號受控對象受控對象控制信號控制信號模擬信號模擬信號D/A轉(zhuǎn)換器轉(zhuǎn)換器放大驅(qū)動電路放大驅(qū)動電路傳感器傳感器將各種現(xiàn)場的物理量測量出來將各種現(xiàn)場的物理量測量出來并轉(zhuǎn)換成電信號(模擬電壓或電流)并轉(zhuǎn)換成電信號(模擬電壓或電流) 放大器放大器把傳

2、感器輸出的信號放大到把傳感器輸出的信號放大到ADC所需所需的量程范圍的量程范圍低通濾波器低通濾波器用于降低噪聲、濾去高頻干擾,用于降低噪聲、濾去高頻干擾,以增加信噪比以增加信噪比多路開關多路開關把多個現(xiàn)場信號分時地接通到把多個現(xiàn)場信號分時地接通到A/D轉(zhuǎn)換器轉(zhuǎn)換器采樣保持器采樣保持器周期性地采樣連續(xù)信號,周期性地采樣連續(xù)信號,并在并在A/D轉(zhuǎn)換期間保持不變轉(zhuǎn)換期間保持不變模擬量與數(shù)字量n模擬量模擬量連續(xù)變化的物理量連續(xù)變化的物理量n數(shù)字量數(shù)字量時間和數(shù)值上都離散的量時間和數(shù)值上都離散的量模擬模擬/數(shù)字轉(zhuǎn)換器數(shù)字轉(zhuǎn)換器ADCDAC數(shù)字數(shù)字/模擬轉(zhuǎn)換器模擬轉(zhuǎn)換器8.2 D/A轉(zhuǎn)換器DAC數(shù)字數(shù)字/

3、模擬轉(zhuǎn)換器模擬轉(zhuǎn)換器模擬量模擬量數(shù)字量數(shù)字量8.2.1 D/A轉(zhuǎn)換的基本原理數(shù)字量數(shù)字量 模擬量模擬量1101B 13T型權(quán)電阻網(wǎng)絡和運放構(gòu)成的DACD3D2D1D0R2R4R8R_+VoVREFRo)2222(800112233DDDDRRVVOREFODi1,開關閉合;,開關閉合; Di0,開關斷開,開關斷開T型電阻網(wǎng)絡和運放構(gòu)成的DACT型電阻網(wǎng)絡和運放構(gòu)成的DAC)21212121(23210OREFOORRVRIV)21212121(2)(32100123RVIIIIIREF (1) 分辨率分辨率 分辨率表示分辨率表示D/A變換器的變換器的1個個LSB(最低有效位最低有效位)輸入使輸

4、出變化的程度。分辨率輸入使輸出變化的程度。分辨率1/(2n-1) (2) 轉(zhuǎn)換精度轉(zhuǎn)換精度 分為分為絕對轉(zhuǎn)換精度絕對轉(zhuǎn)換精度和和相對轉(zhuǎn)換精度。相對轉(zhuǎn)換精度。絕對轉(zhuǎn)換精度絕對轉(zhuǎn)換精度:指每個輸出電壓接近理想值的程度。指每個輸出電壓接近理想值的程度。與標準電源的精度、權(quán)電阻的精度有關。與標準電源的精度、權(quán)電阻的精度有關。相對轉(zhuǎn)換精度:相對轉(zhuǎn)換精度:一般用絕對轉(zhuǎn)換精度相對于滿量程一般用絕對轉(zhuǎn)換精度相對于滿量程輸出的百分數(shù)來表示。有時也用輸出的百分數(shù)來表示。有時也用LSB的幾分之幾來的幾分之幾來表示。表示。D/A變換器的主要技術指標有變換器的主要技術指標有:8.2.2 數(shù)/模轉(zhuǎn)換器件和有關電路n兩類兩

5、類: n不帶數(shù)據(jù)輸入寄存器的不帶數(shù)據(jù)輸入寄存器的D/A轉(zhuǎn)換器轉(zhuǎn)換器n帶數(shù)據(jù)輸入寄存器的帶數(shù)據(jù)輸入寄存器的D/A轉(zhuǎn)換器轉(zhuǎn)換器1.不帶數(shù)據(jù)輸入寄存器的D/A轉(zhuǎn)換器數(shù)據(jù)緩沖器數(shù)據(jù)緩沖器思考:超過思考:超過8位的位的D/A轉(zhuǎn)換器如何連接?轉(zhuǎn)換器如何連接?(后面介紹)(后面介紹)2.帶數(shù)據(jù)輸入寄存器的D/A轉(zhuǎn)換器nDAC0832是典型的是典型的8位電流輸出型通用位電流輸出型通用DAC芯片芯片nDAC0832有兩級鎖存器:輸入寄存器和有兩級鎖存器:輸入寄存器和DAC寄存器寄存器n技術指標技術指標 電流建立時間電流建立時間 1us 單電源單電源 +5+15 VREF輸入端電壓輸入端電壓: 10V 分辨率分辨

6、率 8位位 功耗功耗 200mW 最大電源電壓最大電源電壓 17VDAC0832的數(shù)字接口n8位數(shù)字輸入端位數(shù)字輸入端nDI0DI7(DI0為最低位)為最低位)n輸入寄存器(第輸入寄存器(第1級鎖存)的控制端級鎖存)的控制端nILE、CS*、WR1*nDAC寄存器(第寄存器(第2級鎖存)的控制端級鎖存)的控制端nXFER*、WR2*直通鎖存器的工作方式兩級緩沖寄存器都是直通鎖存器兩級緩沖寄存器都是直通鎖存器nLE1,直通(輸出等于輸入),直通(輸出等于輸入)nLE0,鎖存(輸出保持不變),鎖存(輸出保持不變)LE2LE1DAC0832輸入輸入寄寄存存器器DI0DI7D/A轉(zhuǎn)轉(zhuǎn)換換器器DAC寄寄

7、存存器器Iout1n直通方式直通方式 適用于連續(xù)反饋控制線路適用于連續(xù)反饋控制線路.n單緩沖方式單緩沖方式 適用于一路模擬量輸出適用于一路模擬量輸出,或多路模擬量或多路模擬量 非同步輸出非同步輸出.n雙緩沖方式雙緩沖方式 適用于多個適用于多個DAC0832同時輸出同時輸出.DAC0832的工作方式:直通方式nLE1LE21n輸入的數(shù)字數(shù)據(jù)直接進入輸入的數(shù)字數(shù)據(jù)直接進入D/A轉(zhuǎn)換器轉(zhuǎn)換器LE2LE1DAC0832輸入輸入寄寄存存器器DI0DI7D/A轉(zhuǎn)轉(zhuǎn)換換器器DAC寄寄存存器器Iout1DAC0832的工作方式:單緩沖方式nLE11,或者,或者LE21n兩個寄存器之一始終處于直通狀態(tài)兩個寄存器

8、之一始終處于直通狀態(tài)n另一個寄存器處于受控狀態(tài)(緩沖狀態(tài))另一個寄存器處于受控狀態(tài)(緩沖狀態(tài))LE2LE1DAC0832輸入輸入寄寄存存器器DI0DI7D/A轉(zhuǎn)轉(zhuǎn)換換器器DAC寄寄存存器器Iout1DAC0832的工作方式:雙緩沖方式n兩個寄存器都處于受控(緩沖)狀態(tài)兩個寄存器都處于受控(緩沖)狀態(tài)n能夠?qū)σ粋€數(shù)據(jù)進行能夠?qū)σ粋€數(shù)據(jù)進行D/A轉(zhuǎn)換的同時;輸入轉(zhuǎn)換的同時;輸入另一個數(shù)據(jù)另一個數(shù)據(jù)LE2LE1DAC0832輸入輸入寄寄存存器器DI0DI7D/A轉(zhuǎn)轉(zhuǎn)換換器器DAC寄寄存存器器Iout1 DAC0832的模擬輸出nIout1、Iout2電流輸出端電流輸出端nRfb反饋電阻引出端(電阻在

9、芯片內(nèi))反饋電阻引出端(電阻在芯片內(nèi))nVREF參考電壓輸入端參考電壓輸入端n10V10VnAGND模擬信號地模擬信號地nVCC電源電壓輸入端電源電壓輸入端n5V15VnDGND數(shù)字信號地數(shù)字信號地DAC 0832是電流輸出型是電流輸出型,若需要電壓信號若需要電壓信號,可用運算放大器將電流信號轉(zhuǎn)換成電壓信號可用運算放大器將電流信號轉(zhuǎn)換成電壓信號:RfbIout2Iout1Vout+_AGNDADIVREFVoutIout1Rfb(D/28)VREF地線的連接DGNDAGND模擬電路模擬電路數(shù)字電路數(shù)字電路ADCDAC模擬電路模擬電路數(shù)字電路數(shù)字電路8.2.3 DAC芯片與主機的連接nDAC芯片

10、相當于一個芯片相當于一個“輸出設備輸出設備”,至,至少需要一級鎖存器作為接口電路少需要一級鎖存器作為接口電路n考慮到有些考慮到有些DAC芯片的數(shù)據(jù)位數(shù)大于主芯片的數(shù)據(jù)位數(shù)大于主機數(shù)據(jù)總線寬度,所以分成兩種情況:機數(shù)據(jù)總線寬度,所以分成兩種情況:1. 主機位數(shù)等于或大于主機位數(shù)等于或大于DAC芯片位數(shù)芯片位數(shù)2. 主機位數(shù)小于主機位數(shù)小于DAC芯片位數(shù)芯片位數(shù)1. 主機位數(shù)大于或等于DAC芯片的連接mov al,bufmov dx,portdout dx,al譯碼譯碼ABD0D7CLKDACVout+_ALS273 IOWDAC0832單緩沖方式 WR1 CS IOW 5V+5VRfbIout2

11、Iout1 WR2XFERDGND AGNDD0D7DI0D17VccILEVREFVout+_A譯碼譯碼AB2. 主機位數(shù)小于DAC芯片的連接n數(shù)字數(shù)據(jù)需要多次輸出數(shù)字數(shù)據(jù)需要多次輸出n接口電路也需要多個(級)鎖存器保存接口電路也需要多個(級)鎖存器保存多次輸出的數(shù)據(jù)多次輸出的數(shù)據(jù)n并需要同時將完整的數(shù)字量提供給并需要同時將完整的數(shù)字量提供給DAC轉(zhuǎn)換器轉(zhuǎn)換器8位位12位位兩級鎖存電路模擬輸出模擬輸出12位位DAC第第2級級12位鎖存控制位鎖存控制第第1級低級低8位鎖存控制位鎖存控制第第1級高級高4位鎖存控制位鎖存控制D0D74位位鎖存器鎖存器4位位鎖存器鎖存器8位位鎖存器鎖存器8位位鎖存器

12、鎖存器由同一個信號控制由同一個信號控制關鍵的一級鎖存關鍵的一級鎖存無需輸出數(shù)據(jù)無需輸出數(shù)據(jù)簡化的兩級鎖存電路模擬輸出模擬輸出12位位DAC第第2級級12位鎖存控制位鎖存控制第第1級低級低8位鎖存控制位鎖存控制D0D74位位鎖存器鎖存器8位位鎖存器鎖存器8位位鎖存器鎖存器由同一個信號控制由同一個信號控制關鍵的一級鎖存關鍵的一級鎖存需要輸出高需要輸出高4位數(shù)據(jù)位數(shù)據(jù)mov dx,port1mov al,blout dx,almov dx,port2mov al,bhout dx,al8.2.4 DAC芯片的應用例1:用前面電路輸出正向鋸齒波2次數(shù)據(jù)輸出的時間間隔次數(shù)據(jù)輸出的時間間隔tms02LSB

13、1LSB255LSB254LSB鋸齒波周期鋸齒波周期MOV DX,PORTAMOV AL,0FFHDON:INC ALOUT DX,ALCALL DELAYJMP DONDELAY PROC NEARMOV CX,DATA X:LOOP XRETDELAY ENDP?DEC AL用延時程序控制周期用延時程序控制周期 MOV DX,PORT MOV AL,0FFHDON1: INC AL OUT DX,AL CMP AL,0FFH JNZ DON1 DON2: DEC AL OUT DX,AL CMP AL,0 JNZ DON2 JMP DON1 例例3:8255的端口地址的端口地址: 300H

14、303HD/A轉(zhuǎn)換器的應用n函數(shù)發(fā)生器函數(shù)發(fā)生器n只要往只要往D/A轉(zhuǎn)換器寫入按規(guī)律變化的數(shù)據(jù),即可轉(zhuǎn)換器寫入按規(guī)律變化的數(shù)據(jù),即可在輸出端獲得正弦波、三角波、鋸齒波、方波、在輸出端獲得正弦波、三角波、鋸齒波、方波、階梯波、梯形波等函數(shù)波形。階梯波、梯形波等函數(shù)波形。n直流電機的轉(zhuǎn)速控制直流電機的轉(zhuǎn)速控制n用不同的數(shù)值產(chǎn)生不同的電壓,控制電機的轉(zhuǎn)速用不同的數(shù)值產(chǎn)生不同的電壓,控制電機的轉(zhuǎn)速n其他需要用電壓其他需要用電壓/電流來進行控制的場合電流來進行控制的場合 8.3 A/D轉(zhuǎn)換器模擬量模擬量數(shù)字量數(shù)字量模擬模擬/數(shù)字轉(zhuǎn)換器數(shù)字轉(zhuǎn)換器ADCn用途用途n將連續(xù)變化的模擬信號轉(zhuǎn)換為數(shù)字信號,以便將

15、連續(xù)變化的模擬信號轉(zhuǎn)換為數(shù)字信號,以便于計算機進行處理。于計算機進行處理。n常用于數(shù)據(jù)采集系統(tǒng)或數(shù)字化聲音。常用于數(shù)據(jù)采集系統(tǒng)或數(shù)字化聲音。nA/D轉(zhuǎn)換的四個步驟轉(zhuǎn)換的四個步驟n采樣采樣保持保持量化量化編碼編碼n采樣采樣/保持:由采樣保持電路(保持:由采樣保持電路(S/H)完成)完成n量化量化/編碼:由編碼:由ADC電路完成(電路完成(ADC:AD變換器)變換器)1) 采樣和保持n采樣采樣n將一個時間上連續(xù)變化的模擬量轉(zhuǎn)為時間上斷續(xù)變化的將一個時間上連續(xù)變化的模擬量轉(zhuǎn)為時間上斷續(xù)變化的(離散的)模擬量。(離散的)模擬量。n或:把一個時間上連續(xù)變化的模擬量轉(zhuǎn)換為一個脈沖串,或:把一個時間上連續(xù)變

16、化的模擬量轉(zhuǎn)換為一個脈沖串,脈沖的幅度取決于輸入模擬量。脈沖的幅度取決于輸入模擬量。n保持保持n將采樣得到的模擬量值保持下來,使之等于采樣控制脈將采樣得到的模擬量值保持下來,使之等于采樣控制脈沖存在的最后瞬間的采樣值。沖存在的最后瞬間的采樣值。n目的:目的: A/D轉(zhuǎn)換期間保持采樣值恒定不變。轉(zhuǎn)換期間保持采樣值恒定不變。n對于慢速變化的信號,可省略采樣保持電路對于慢速變化的信號,可省略采樣保持電路采樣周期的確定n采樣通常采用等時間間隔采樣。采樣通常采用等時間間隔采樣。n采樣頻率采樣頻率fs不能低于不能低于2fimax(fimax為輸入信為輸入信號號Vin的最高次諧波分量的頻率);的最高次諧波分

17、量的頻率);nfs的的上限受計算機的速度、存儲容量、器件上限受計算機的速度、存儲容量、器件速度的限制。速度的限制。n實際中一般取實際中一般取fs為為fimax的的4-5倍。倍。2) 量化和編碼n量化量化就是用基本的量化電平的個數(shù)來表示采樣到模擬電壓值。就是用基本的量化電平的個數(shù)來表示采樣到模擬電壓值。即把時間上離散而數(shù)值上連續(xù)的模擬量以一定的準確度變換即把時間上離散而數(shù)值上連續(xù)的模擬量以一定的準確度變換為時間上、數(shù)值上都離散的具有標準量化級的等效數(shù)字值。為時間上、數(shù)值上都離散的具有標準量化級的等效數(shù)字值。(量化電平的大小取決于(量化電平的大小取決于A/D變換器的字長)變換器的字長)n只有當電壓

18、值正好等于量化電平的整數(shù)倍時,量化后才是準確值,只有當電壓值正好等于量化電平的整數(shù)倍時,量化后才是準確值,否則量化后的結(jié)果都只能是輸入模似量的近似值。這種由于量化而否則量化后的結(jié)果都只能是輸入模似量的近似值。這種由于量化而產(chǎn)生的誤差叫做量化誤差。量化誤差是由于量化電平的有限性造成產(chǎn)生的誤差叫做量化誤差。量化誤差是由于量化電平的有限性造成的,所以它是原理性誤差,只能減小,而無法消除。為減小量化誤的,所以它是原理性誤差,只能減小,而無法消除。為減小量化誤差,根本的辦法是減小量化電平(即增加字長)。差,根本的辦法是減小量化電平(即增加字長)。n編碼編碼是把已經(jīng)量化的模擬數(shù)值是把已經(jīng)量化的模擬數(shù)值(它

19、一定是量化電平的整數(shù)倍它一定是量化電平的整數(shù)倍)用二進制碼、用二進制碼、BCD碼或其它碼來表示。碼或其它碼來表示。8. 3. 1 模模/數(shù)轉(zhuǎn)換涉及的參數(shù)數(shù)轉(zhuǎn)換涉及的參數(shù)1. 轉(zhuǎn)換精度轉(zhuǎn)換精度 轉(zhuǎn)換精度反映了轉(zhuǎn)換精度反映了A/D轉(zhuǎn)換器的實際輸出接近理轉(zhuǎn)換器的實際輸出接近理想輸出的精確程度,通常用數(shù)字量的最低有效想輸出的精確程度,通常用數(shù)字量的最低有效位(位(LSB)來表示。)來表示。2. 轉(zhuǎn)換時間和轉(zhuǎn)換率轉(zhuǎn)換時間和轉(zhuǎn)換率 轉(zhuǎn)換時間為完成一次轉(zhuǎn)換時間為完成一次A/D轉(zhuǎn)換所需要的時間。轉(zhuǎn)換所需要的時間。轉(zhuǎn)換率為轉(zhuǎn)換時間的倒數(shù)。轉(zhuǎn)換率為轉(zhuǎn)換時間的倒數(shù)。3. 分辨率分辨率 表明能夠分辨最小的量化信號的能

20、力,通常用表明能夠分辨最小的量化信號的能力,通常用位數(shù)來表示。例如,位數(shù)來表示。例如,12位的位的ADC的分辨率為的分辨率為2122048。8.3.2 A/D轉(zhuǎn)換的方法和原理ADC的分類及其優(yōu)缺點的分類及其優(yōu)缺點n計數(shù)式計數(shù)式ADC:最簡單,但轉(zhuǎn)換速度最慢。:最簡單,但轉(zhuǎn)換速度最慢。n逐次逼近式逐次逼近式ADC:轉(zhuǎn)換速度和精度都比較高,且比:轉(zhuǎn)換速度和精度都比較高,且比較簡單,價格低,所以在微型機應用系統(tǒng)中最常用。較簡單,價格低,所以在微型機應用系統(tǒng)中最常用。n雙積分式雙積分式ADC:轉(zhuǎn)換精度高,抗干擾能力強,但轉(zhuǎn):轉(zhuǎn)換精度高,抗干擾能力強,但轉(zhuǎn)換速度慢,一般應用在精度高而速度不高的場合,換速

21、度慢,一般應用在精度高而速度不高的場合,如測量儀表。如測量儀表。1. 計數(shù)式A/D轉(zhuǎn)換以最低位為增減量以最低位為增減量單位的逐步計數(shù)法單位的逐步計數(shù)法2. 逐次逼近式從最高位開始從最高位開始的逐位試探法的逐位試探法3. 雙積分式兩個積分階段兩個積分階段實質(zhì)是電壓實質(zhì)是電壓/時間變換時間變換IREFIinVinVREF積分器積分器比較器比較器V/IV/I時鐘時鐘啟動計數(shù)啟動計數(shù)計數(shù)器計數(shù)器數(shù)字輸出數(shù)字輸出T2T1Vc固定斜率固定斜率時間可變時間可變固定時間固定時間斜率可變斜率可變轉(zhuǎn)換結(jié)束轉(zhuǎn)換結(jié)束4.用軟件和D/A轉(zhuǎn)換器來實現(xiàn)A/D轉(zhuǎn)換鎖鎖存存器器D/A轉(zhuǎn)換器轉(zhuǎn)換器輸入輸入接口接口D0D7D0Vx

22、譯譯碼碼器器WR*ABRD*比較器比較器Vc軟件可以用計數(shù)式或逐次逼近式實現(xiàn)軟件可以用計數(shù)式或逐次逼近式實現(xiàn)實現(xiàn)逐次逼近過程的程序段START:XOR AX,AX MOV BL,80H MOV CX,08HAGAIN:ADD AL,BL MOV BH,AL OUT PORTA,AL IN AL,PORTS AND AL,01H JZEND1MOV AL,BLNOT ALAND AL,BH MOV BH,ALEND1:ROR BL,1 MOV AL,BHLOOP AGAIN8.3.3 A/D轉(zhuǎn)換芯片1.ADC0804芯片芯片n帶有可控三態(tài)門帶有可控三態(tài)門nCS*和和WR*有效,啟有效,啟動轉(zhuǎn)換動

23、轉(zhuǎn)換nCS*和和RD*有效,讀有效,讀取數(shù)據(jù)取數(shù)據(jù)n轉(zhuǎn)換結(jié)束,轉(zhuǎn)換結(jié)束,INTR*0;C P U 讀 取 數(shù) 據(jù) 后 ,讀 取 數(shù) 據(jù) 后 ,INTR*1n查詢方式或中斷方式查詢方式或中斷方式 VccDB7DB0 CLKR INTR* CLRINRD* VIN(+) WR* VIN(-) AGND DGNDCS*模擬模擬輸入輸入ADC0804+5V2. ADC0809芯片n具有具有A/D轉(zhuǎn)換的基本功能轉(zhuǎn)換的基本功能vCMOS工藝制作工藝制作v8位逐次逼近式位逐次逼近式ADCv轉(zhuǎn)換時間為轉(zhuǎn)換時間為100 sn包含擴展部件包含擴展部件多路開關多路開關三態(tài)鎖存緩沖器三態(tài)鎖存緩沖器ADC0809的內(nèi)部

24、結(jié)構(gòu)圖ADC0809地址鎖存地址鎖存和譯碼和譯碼OE通道通道選擇選擇開關開關ADDAADDBADDC1N0IN1IN2IN3IN4IN5IN6IN78位位三態(tài)三態(tài)鎖存鎖存緩沖器緩沖器DACVcc比較器比較器CLOCKSTARTGND VREF(+)VREF(-)ALE逐次逼近逐次逼近寄存器寄存器SAR定時和控制定時和控制D0D1D2D3D4D5D6D7EOCADC0809的轉(zhuǎn)換時序 ADC0809的模擬輸入n提供一個提供一個8通道的多路開關和尋址邏輯通道的多路開關和尋址邏輯IN0IN7:8個模擬電壓輸入端個模擬電壓輸入端ADDA、ADDB、ADDC:3個地址輸入線個地址輸入線ALE:地址鎖存允

25、許信號地址鎖存允許信號nALE的上升沿用于鎖存的上升沿用于鎖存3個地址輸入的狀個地址輸入的狀態(tài),然后由譯碼器從態(tài),然后由譯碼器從8個模擬輸入中選擇個模擬輸入中選擇一個模擬輸入端進行一個模擬輸入端進行A/D轉(zhuǎn)換轉(zhuǎn)換 ADC0809的數(shù)字輸出nADC0809內(nèi)部鎖存轉(zhuǎn)換后的數(shù)字量內(nèi)部鎖存轉(zhuǎn)換后的數(shù)字量n具有三態(tài)數(shù)字量輸出端具有三態(tài)數(shù)字量輸出端D0D7n配合輸出允許信號配合輸出允許信號OEo 當輸出允許信號當輸出允許信號OE為高電平有效時,將為高電平有效時,將三態(tài)鎖存緩沖器的數(shù)字量從三態(tài)鎖存緩沖器的數(shù)字量從D0D7輸輸出出ADC0809的工作過程n根據(jù)時序圖,根據(jù)時序圖,ADC0809的工作過程如下

26、:的工作過程如下:把把通道地址送到通道地址送到ADDAADDC上,選擇一個模,選擇一個模擬輸入端;擬輸入端; 在通道地址信號有效期間,在通道地址信號有效期間,ALE上的上的上升沿使該地址鎖存到內(nèi)部地址鎖存器;該地址鎖存到內(nèi)部地址鎖存器;START引腳上的引腳上的下降沿啟動啟動A/D變換;變換; 變換開始后,變換開始后,EOC引腳呈現(xiàn)引腳呈現(xiàn)低電平, EOC重新重新變?yōu)樽優(yōu)楦唠娖綍r表示轉(zhuǎn)換結(jié)束;時表示轉(zhuǎn)換結(jié)束;OE信號打開信號打開輸出鎖存器的三態(tài)門送出結(jié)果輸出鎖存器的三態(tài)門送出結(jié)果 。進一步應考慮的問題n多個模擬通道時,程序怎樣編寫?多個模擬通道時,程序怎樣編寫?nADC位數(shù)大于位數(shù)大于8位應怎

27、樣處理?位應怎樣處理?n用用8255時程序應怎樣編寫?時程序應怎樣編寫?3.AD570芯片n分辨率分辨率:8位位n內(nèi)部有輸出三態(tài)門內(nèi)部有輸出三態(tài)門,不可控不可控 n AD570不能直接與不能直接與CPU數(shù)據(jù)總線相連數(shù)據(jù)總線相連. AC DC AINDB7DB0 DR* B/C*模擬輸入模擬輸入B/C*:啟動信號,低電平有效;啟動信號,低電平有效;DR* :轉(zhuǎn)換結(jié)束,低電平有效;:轉(zhuǎn)換結(jié)束,低電平有效;AC:模擬地;模擬地;DR:數(shù)字地。:數(shù)字地。啟動轉(zhuǎn)換轉(zhuǎn)換結(jié)束8.3.4 ADC芯片與主機的連接nADC芯片相當于芯片相當于“輸入設備輸入設備”,需要接,需要接口電路提供數(shù)據(jù)緩沖器口電路提供數(shù)據(jù)緩

28、沖器n主機需要控制轉(zhuǎn)換的啟動主機需要控制轉(zhuǎn)換的啟動n主機還需要及時獲知轉(zhuǎn)換是否結(jié)束,并主機還需要及時獲知轉(zhuǎn)換是否結(jié)束,并進行數(shù)據(jù)輸入等處理進行數(shù)據(jù)輸入等處理1.輸入模擬電壓的連接n單端輸入,如單端輸入,如ADC0809n差動輸入,如差動輸入,如ADC0804ADC0809與系統(tǒng)的連接 n模擬輸入端模擬輸入端INin單路輸入單路輸入n模擬信號可連接到任何模擬信號可連接到任何一個輸入端;一個輸入端;n地址線可根據(jù)輸入固定地址線可根據(jù)輸入固定連接;也可以由連接;也可以由CPU給給一個固定地址。一個固定地址。 單路輸入時單路輸入時ADDCADDBADDAIN4ADC0809輸入輸入多路輸入時多路輸入時

29、ADDCADDBADDAIN0IN1IN2IN3IN4ADC0809輸入輸入0輸入輸入1輸入輸入2輸入輸入3輸入輸入4CPU指定指定通道號通道號+5Vn多路輸入多路輸入n模擬信號按順序分別模擬信號按順序分別連接到輸入端;連接到輸入端;n要轉(zhuǎn)換哪一路輸入,要轉(zhuǎn)換哪一路輸入,就將其編號送到地址就將其編號送到地址線上線上(動態(tài)選擇動態(tài)選擇)。2. 數(shù)據(jù)輸出線的連接n與主機的連接可分成兩種方式與主機的連接可分成兩種方式q直接相連直接相連:用于輸出帶有三態(tài)鎖存器的:用于輸出帶有三態(tài)鎖存器的ADC芯片芯片q通過三態(tài)鎖存器相連通過三態(tài)鎖存器相連:適用于不帶三態(tài)鎖:適用于不帶三態(tài)鎖存器的存器的ADC芯片,也適

30、用帶有三態(tài)鎖存緩芯片,也適用帶有三態(tài)鎖存緩沖器的芯片沖器的芯片nADC芯片的數(shù)字輸出位數(shù)大于系統(tǒng)數(shù)據(jù)芯片的數(shù)字輸出位數(shù)大于系統(tǒng)數(shù)據(jù)總線位數(shù),需把數(shù)據(jù)分多次讀取總線位數(shù),需把數(shù)據(jù)分多次讀取數(shù)據(jù)輸出線D0-D7n內(nèi)部已接有三態(tài)門,故可直接連到內(nèi)部已接有三態(tài)門,故可直接連到DB上上n也可另外通過一個外部三態(tài)門與也可另外通過一個外部三態(tài)門與DB相連相連n上述兩種方法均需占用一個上述兩種方法均需占用一個I/O地址地址D0-D7ADC0809DBOE來自來自I/O譯碼譯碼D0-D7ADC0809DBOE來自來自I/O譯碼譯碼直接與直接與DB相連相連通過三態(tài)門與通過三態(tài)門與DB相連相連74LS244+5VD

31、IDOE1#E2#3. A/D轉(zhuǎn)換的啟動(1)n啟動信號一般有兩種形式啟動信號一般有兩種形式q脈沖信號啟動轉(zhuǎn)換脈沖信號啟動轉(zhuǎn)換q電平信號啟動轉(zhuǎn)換電平信號啟動轉(zhuǎn)換轉(zhuǎn)換啟動轉(zhuǎn)換啟動轉(zhuǎn)換結(jié)束轉(zhuǎn)換結(jié)束3. A/D轉(zhuǎn)換的啟動(2)n主機產(chǎn)生啟動信號有兩種方法主機產(chǎn)生啟動信號有兩種方法q編程啟動編程啟動軟件上,執(zhí)行一個輸出指令軟件上,執(zhí)行一個輸出指令硬件上,利用輸出指令產(chǎn)生硬件上,利用輸出指令產(chǎn)生ADC啟動脈啟動脈沖,或產(chǎn)生一個啟動有效電平?jīng)_,或產(chǎn)生一個啟動有效電平q定時啟動定時啟動啟動信號來自定時器輸出啟動信號來自定時器輸出兩種連接方法:兩種連接方法:分別連接:用兩個信號分別進行控制分別連接:用兩個信號

32、分別進行控制需占用兩個需占用兩個I/O端口或兩個端口或兩個I/O線線(用用8255時時);統(tǒng)一連接:用一個脈沖信號的上升沿進行地址鎖存,下統(tǒng)一連接:用一個脈沖信號的上升沿進行地址鎖存,下降沿實現(xiàn)啟動轉(zhuǎn)換降沿實現(xiàn)啟動轉(zhuǎn)換只需占用一個只需占用一個I/O端口或一個端口或一個I/O線線(用用8255時時)ADC0809ALESTART獨立連接獨立連接來自來自I/O譯碼譯碼1來自來自I/O譯碼譯碼2ADC0809ALESTART統(tǒng)一連接統(tǒng)一連接來自來自I/O譯碼譯碼地址鎖存信號地址鎖存信號ALE和啟動轉(zhuǎn)換信號和啟動轉(zhuǎn)換信號START4. 轉(zhuǎn)換結(jié)束信號的處理v不同的處理方式對應程序設計方法不同不同的處理方

33、式對應程序設計方法不同 查詢方式查詢方式把結(jié)束信號作為狀態(tài)信號把結(jié)束信號作為狀態(tài)信號 中斷方式中斷方式把結(jié)束信號作為中斷請求信號把結(jié)束信號作為中斷請求信號 延時方式延時方式不使用轉(zhuǎn)換結(jié)束信號不使用轉(zhuǎn)換結(jié)束信號轉(zhuǎn)換結(jié)束EOCn軟件查詢軟件查詢EOC狀態(tài)狀態(tài)nEOC通過一個三態(tài)門連到數(shù)據(jù)總線的通過一個三態(tài)門連到數(shù)據(jù)總線的D0(或或D1、D2n三態(tài)門要占用一個三態(tài)門要占用一個I/O端口地址端口地址nCPU效率低效率低n把把EOC作為中斷申請信號,向作為中斷申請信號,向CPU申請中斷申請中斷n在中斷服務程序中讀入轉(zhuǎn)換結(jié)果在中斷服務程序中讀入轉(zhuǎn)換結(jié)果n效率高效率高n軟件延時等待軟件延時等待(比如延時比如

34、延時1ms)不用不用EOC信號信號nCPU效率最低,只能按最大轉(zhuǎn)換時間延時效率最低,只能按最大轉(zhuǎn)換時間延時n簡單,容易實現(xiàn)簡單,容易實現(xiàn)5.ADC0809的地址線ADDA-ADDCn多路輸入時,地址線不能固定連接到多路輸入時,地址線不能固定連接到5V或地線,而是要或地線,而是要通過一個接口芯片與數(shù)據(jù)總線連接。接口芯片可以選用:通過一個接口芯片與數(shù)據(jù)總線連接。接口芯片可以選用:n鎖存器鎖存器74LS273,74LS373等(要占用一個等(要占用一個I/O地址)地址)n可編程并行接口可編程并行接口8255(要占用四個(要占用四個I/O地址)地址)nCPU用一條用一條OUT指令把通道地址通過接口芯片

35、送給指令把通道地址通過接口芯片送給ADC0809ADDCADDBADDAIN0IN1IN2IN3IN4ADC0809輸輸入入DB74LS273Q2Q1Q0CP來自來自I/O譯碼譯碼D0-D7ADDCADDBADDAIN0IN1IN2IN3IN4ADC0809DB8255PB2PB1PB0CS#來自來自I/O譯碼譯碼D0-D7A1A0A1A0用鎖存器作為用鎖存器作為ADC0809的接口的接口用用8255作為作為ADC0809的接口的接口D0IN0A15-A0IOR#IOW#D7-D0D7-D0EOCOESTARTALEADDCADDBADDA譯譯碼碼器器ADC0809n一個連接實例(用查詢方式)

36、一個連接實例(用查詢方式)模擬信號輸入模擬信號輸入進行一次進行一次A/D轉(zhuǎn)換的程序轉(zhuǎn)換的程序(以上圖為例以上圖為例)n用延時等待的方法用延時等待的方法MOV DX, start_portOUT DX, AL ;啟動轉(zhuǎn)換啟動轉(zhuǎn)換CALL DELAY_1MS ;延時延時1msMOV DX, oe_portIN AL, DX ;讀入結(jié)果讀入結(jié)果進行一次進行一次A/D轉(zhuǎn)換的程序轉(zhuǎn)換的程序(以上圖為例以上圖為例)n用查詢用查詢EOC狀態(tài)的方法狀態(tài)的方法MOVDX, start_portOUTDX, AL ;啟動轉(zhuǎn)換啟動轉(zhuǎn)換LL: MOVDX, eoc_portINAL, DX ;讀入讀入EOC狀態(tài)狀態(tài)A

37、NDAL, 01H ;測試第測試第0位位(EOC狀態(tài)狀態(tài)位位)JZLL ;未轉(zhuǎn)換完,則循環(huán)檢測未轉(zhuǎn)換完,則循環(huán)檢測MOVDX, oe_portIN AL, DX ;讀入結(jié)果讀入結(jié)果 例題例題1:設設EOC接接8259的的IR7:試編寫連續(xù)轉(zhuǎn)換試編寫連續(xù)轉(zhuǎn)換8 8個個通道的模擬量的程序,并將轉(zhuǎn)換值存在通道的模擬量的程序,并將轉(zhuǎn)換值存在DATDAT開始的開始的單元中。單元中。主程序:主程序: CLIMOV BL,0 ;0通道號送通道號送BLMOV SI,0 ;設存放轉(zhuǎn)換值地址指針;設存放轉(zhuǎn)換值地址指針MOV AL,BL ;通道號送;通道號送ALOUT ADC0809,AL ;從從0通道開始,啟動通

38、道開始,啟動A/D轉(zhuǎn)轉(zhuǎn)換;換;NOPNOPSTI.IR7中斷服務程序中斷服務程序:INT-AD : IN AL,ADC0809 ;讀讀A/D轉(zhuǎn)換值轉(zhuǎn)換值 MOV DATSI,AL ;存入存入DAT中中 INC BL INC SI CMP BL,8 JZ EXIT MOV AL,BL ;若未完成若未完成8個通道的轉(zhuǎn)換個通道的轉(zhuǎn)換, ;則啟動下個則啟動下個A/D轉(zhuǎn)換轉(zhuǎn)換,否則返回否則返回 OUT AD0809,AL ;啟動下個啟動下個A/D通道通道 STI EXIT: IRET 設設IR7的類型號為的類型號為0FH. 設置中斷向量設置中斷向量: 0FH4=3CH 0FH4+2=3EHCLIPUSH DSXOR AX,AX MOV DS,AXMOV AX,OFFSET INT-ADMOV WORD PTR 003CH,AXMOV AX,SEG INT-ADMOV WORD PTR 003EH,AXPOP DSSTI 例題例題2.設設EOC接接82

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論