數(shù)電課設(shè)之?dāng)?shù)字頻率計(jì)設(shè)計(jì)_第1頁
數(shù)電課設(shè)之?dāng)?shù)字頻率計(jì)設(shè)計(jì)_第2頁
數(shù)電課設(shè)之?dāng)?shù)字頻率計(jì)設(shè)計(jì)_第3頁
數(shù)電課設(shè)之?dāng)?shù)字頻率計(jì)設(shè)計(jì)_第4頁
數(shù)電課設(shè)之?dāng)?shù)字頻率計(jì)設(shè)計(jì)_第5頁
已閱讀5頁,還剩16頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、 武漢理工大學(xué)數(shù)字電子技術(shù)課程設(shè)計(jì)課程設(shè)計(jì)任務(wù)書學(xué)生姓名:專業(yè)班級(jí):指導(dǎo)教師:工作單位: 題目:數(shù)字頻率計(jì)設(shè)計(jì)初始條件:數(shù)字電路基礎(chǔ)知識(shí)。電路板焊接知識(shí)。要求完成的主要任務(wù):根據(jù)理論知識(shí)書寫課程設(shè)計(jì)報(bào)告。按照要求焊接實(shí)物,并調(diào)試電路功能。參考書:電子線路設(shè)計(jì)實(shí)驗(yàn)測試(第二版) 謝自美 主編 華中理工大學(xué)出版社新型集成電路的應(yīng)用電子技術(shù)基礎(chǔ)課程設(shè)計(jì)梁宗善 主編 華中科技大學(xué)出版社電子技術(shù)基礎(chǔ)數(shù)字部分康華光 主編 高等教育出版社時(shí)間安排:1、理論講解,老師布置課程設(shè)計(jì)題目,學(xué)生根據(jù)選題開始查找資料。2、課程設(shè)計(jì)時(shí)間為 1 周。確定技術(shù)方案、電路,并進(jìn)行分析計(jì)算, 時(shí)間 1 天;選擇元器件、安裝與調(diào)

2、試,或仿真設(shè)計(jì)與分析,時(shí)間 2 天;總結(jié)結(jié)果,寫出課程設(shè)計(jì)報(bào)告,時(shí)間 2 天。指導(dǎo)教師簽名:2010年 7月9 日系主任(或責(zé)任教師)簽名:年月日1 武漢理工大學(xué)數(shù)字電子技術(shù)課程設(shè)計(jì)目 錄 HYPERLINK l _TOC_250015 摘要2 HYPERLINK l _TOC_250014 Abstract3 HYPERLINK l _TOC_250013 引 言5 HYPERLINK l _TOC_250012 數(shù)字頻率計(jì)原理簡介6 HYPERLINK l _TOC_250011 方案的選擇與論證6放大整形電路的選擇錯(cuò)誤!未定義書簽。 HYPERLINK l _TOC_250010 時(shí)基電

3、路的選擇8 HYPERLINK l _TOC_250009 數(shù)字頻率計(jì)各個(gè)模塊設(shè)計(jì)10 HYPERLINK l _TOC_250008 時(shí)基電路10 HYPERLINK l _TOC_250007 放大整形電路11 HYPERLINK l _TOC_250006 邏輯控制電路11 HYPERLINK l _TOC_250005 計(jì)數(shù)器13 HYPERLINK l _TOC_250004 鎖存器14 HYPERLINK l _TOC_250003 顯示譯碼器14總體電路設(shè)計(jì)錯(cuò)誤!未定義書簽。仿真結(jié)果錯(cuò)誤!未定義書簽。 HYPERLINK l _TOC_250002 心得體會(huì)17 HYPERLIN

4、K l _TOC_250001 參考文獻(xiàn)19 HYPERLINK l _TOC_250000 附 錄202 武漢理工大學(xué)數(shù)字電子技術(shù)課程設(shè)計(jì)摘 要在數(shù)字電路中,數(shù)字頻率計(jì)屬于時(shí)序電路,它主要由具有記憶功能的觸發(fā)器構(gòu)成。在計(jì)算機(jī)及各種數(shù)字儀表中,數(shù)字頻率計(jì)得到了廣泛的應(yīng)用,它與許多電參量的測量方案、測量結(jié)果都有密切關(guān)系,因此,頻率的測量在科技研究和實(shí)際應(yīng)用中的作用日益重要。本文介紹了一種基于 TTL 系列芯片的簡易數(shù)字頻率計(jì)。數(shù)字頻率計(jì)應(yīng)用所學(xué)的數(shù)字電路知識(shí)進(jìn)行設(shè)計(jì)。電路由放大整形電路、時(shí)基電路、邏輯控制電路、計(jì)數(shù)鎖存電路及譯碼顯示電路組成。能夠較精準(zhǔn)的測量幅值在 0.2V5V 的正弦波、三角波

5、、方波的頻率。測量范圍能夠達(dá)到 1Hz9999Hz。關(guān)鍵詞:頻率計(jì),TTL 芯片,數(shù)字電路3 武漢理工大學(xué)數(shù)字電子技術(shù)課程設(shè)計(jì)AbstractIn digital circuits, digital frequency meter are sequential circuits, it is primarily a memory flip-flop form.In the computer and various digital devices, the digital frequency meter has been widely used, with many electrical par

6、ameters measurement program, measurement results are closely related, therefore, the frequency of measurement in research and practical application of the increasingly important role.In this paper,a design of simple digital cymometer based on the TTL serises chipswas described.This design is based o

7、n the knowledge about the digital circuit we learned.It consists of amplifier and shaping circuit , time-base circuit, control circuit, latch circuit and decoding count show circuit.It can be used to accurately detect the frequency of sine wave, triangle wave and square wave accurately that the ampl

8、itude is between 0.2V and 5V. Detecting range can be achieved 1Hz 9.99kHz.Key words : frequency counter, the TTL series chips,digital circuit4 武漢理工大學(xué)數(shù)字電子技術(shù)課程設(shè)計(jì)引 言在電子技術(shù)中,頻率是一個(gè)重要參量。應(yīng)用計(jì)數(shù)法原理制成的數(shù)字式頻率測量儀器具有精確度高,測頻范圍寬,便于實(shí)現(xiàn)測量過程自動(dòng)化等一系列突出特點(diǎn),所以數(shù)字式頻率測量計(jì)(簡稱數(shù)字式頻率計(jì))已成為目前測量頻率的主要儀器。5 武漢理工大學(xué)數(shù)字電子技術(shù)課程設(shè)計(jì)數(shù)字頻率計(jì)原理簡介放大整形電路閘

9、門電路計(jì)數(shù)器寄存器顯示譯碼器時(shí)基電路邏輯控制電路圖 1 數(shù)字頻率計(jì)的原理框圖該數(shù)字頻率計(jì)可以測量 1HZ9999HZ 的信號(hào)頻率,并在四位數(shù)碼管上顯示。該系統(tǒng)包括標(biāo)準(zhǔn)時(shí)鐘發(fā)生器、放大整形電路、邏輯控制電路、計(jì)數(shù)器、鎖存器及譯碼電路六個(gè)模塊。當(dāng)系統(tǒng)正常工作時(shí),被測信號(hào)經(jīng)過放大整形整形之后變成計(jì)數(shù)器所要求的脈沖信號(hào) 1。標(biāo)準(zhǔn)時(shí)間基準(zhǔn)信號(hào) 2 由時(shí)基電路提供其高電平持續(xù)時(shí)間為 1s,計(jì)數(shù)器對(duì) 1s 時(shí)間中的脈沖計(jì)數(shù),當(dāng) 1s 信號(hào)結(jié)束時(shí),時(shí)基電路產(chǎn)生信號(hào) 2,閘門電路關(guān)閉,邏輯控制電路產(chǎn)生鎖存信號(hào) 6 是顯示器上的數(shù)字穩(wěn)定,清零信號(hào) 5 是計(jì)數(shù)器從 0 開始計(jì)數(shù)。若在閘門時(shí)間 1s 內(nèi)計(jì)數(shù)器計(jì)得的脈

10、沖個(gè)數(shù)為 N,則被測信號(hào)頻率為 N(Hz)。各信號(hào)的時(shí)序圖如圖 2 所示。信號(hào) 2信號(hào) 1 信號(hào) 6 信號(hào) 5圖 2 波形關(guān)系6 武漢理工大學(xué)數(shù)字電子技術(shù)課程設(shè)計(jì)方案的選擇與論證放大整形電路對(duì)信號(hào)的放大功能由三極管構(gòu)成放大電路來實(shí)現(xiàn),對(duì)信號(hào)整形的功能由施密特觸發(fā)器來實(shí)現(xiàn)。施密特觸發(fā)器電路是一種特殊的數(shù)字器件,一般的數(shù)字電路器件當(dāng)輸入起過一定的閾值,其輸出一種狀態(tài),當(dāng)輸入小于這個(gè)閾值時(shí),轉(zhuǎn)變?yōu)榱硪粋€(gè)狀態(tài),而施密特觸發(fā)器不是單一的閾值,而是兩個(gè)閾值,一個(gè)是高電平的閾值,輸入從低電平向高電平變化時(shí), 僅當(dāng)大于這個(gè)閾值時(shí)才為高電平,而從高電平向低電平變化時(shí)即使小于這個(gè)閾值,其仍看成為高電平,輸出狀態(tài)不

11、這;低電平閾值具有相同的特點(diǎn)。方案一:放大整形電路由三極管與與非門組成。三極管構(gòu)成的放大器將輸入頻率為fx 的周期信號(hào)如正弦波、三角波、等進(jìn)行放大。將電源電壓設(shè)為 5V,當(dāng)輸入信號(hào)幅值比較大時(shí),會(huì)出現(xiàn)線性失真,將放大后的波形幅度控制在 5V 以內(nèi)。與非門構(gòu)成施密特觸發(fā)器對(duì)放大器的輸出信號(hào)進(jìn)行整形,使之成為矩形脈沖。電路圖如圖 3 所示。圖 3 放大整形電路方案二:放大部分同方案一,整形部分是由 555 構(gòu)成的施密特整形電路。電路圖如圖4 所示。7 武漢理工大學(xué)數(shù)字電子技術(shù)課程設(shè)計(jì)圖 4555 構(gòu)成的施密特觸發(fā)器方案對(duì)比:555 定時(shí)器的比較器靈敏度高,輸出驅(qū)動(dòng)電路大,但考慮到設(shè)計(jì)的這個(gè)頻率計(jì)對(duì)

12、精度的要求不是太高,因此沒必要使用 555 定時(shí)器構(gòu)成施密特觸發(fā)器,使用與非門可以降低成本,因此選用方案一。時(shí)基電路的選擇方案一:時(shí)基電路的作用是產(chǎn)生一個(gè)標(biāo)準(zhǔn)時(shí)間信號(hào)(高電平持續(xù)時(shí)間為1s)可用定時(shí)器 555 構(gòu)成的多諧振蕩器作為時(shí)基電路。多諧振蕩器又稱矩形波發(fā)生器,電路不具有穩(wěn)定狀態(tài),但是具有兩個(gè)暫穩(wěn)態(tài),當(dāng)電路由一個(gè)暫穩(wěn)態(tài)過渡到另一個(gè)暫穩(wěn)態(tài)時(shí),其“觸發(fā)”信號(hào)是由電路內(nèi)部電容充(放)電提供的,因此無需外部觸發(fā)脈沖,電路工作就是在兩個(gè)暫穩(wěn)態(tài)之間來回轉(zhuǎn)換。在此方案中時(shí)基信號(hào) 2 由引腳 3 輸出。脈寬由電阻 R1、R2 及電容 C4 決定。電路圖如圖 5 所示。555 定時(shí)器內(nèi)部的比較器靈敏度高,

13、而且采用差分電路形式, 用 555 定時(shí)器組成的多諧振蕩器的振蕩頻率受電源電壓和溫度變化的影響很小。8 武漢理工大學(xué)數(shù)字電子技術(shù)課程設(shè)計(jì)圖 5 時(shí)基電路方案二:時(shí)基電路可用晶體振蕩器和分頻器構(gòu)成。晶振頻率取 32768Hz,晶振產(chǎn)生脈沖經(jīng)分頻器 14 級(jí)二分頻后輸出 2Hz 脈沖(高、低電平各持續(xù) 1s)。方案對(duì)比:方案二中晶振分頻產(chǎn)生標(biāo)準(zhǔn)時(shí)間精度要高于方案一中的 555 多諧振蕩器產(chǎn)生的標(biāo)準(zhǔn)時(shí)間。但是 555 定時(shí)器電路元件較少,結(jié)構(gòu)簡單使用較方便。而且由于設(shè)計(jì)要求精度不是很高,所以采用方案一。9 武漢理工大學(xué)數(shù)字電子技術(shù)課程設(shè)計(jì)數(shù)字頻率計(jì)各個(gè)模塊設(shè)計(jì)時(shí)基電路圖 6 時(shí)基電路時(shí)基電路參數(shù)的確

14、定:振蕩器產(chǎn)生的時(shí)基信號(hào)高脈沖持續(xù)時(shí)間為 t1=1s,令低脈沖信號(hào)持續(xù)時(shí)間為 t2=0.25s,那么振蕩器的頻率為;fo=1/(t1+t2)=0.8Hz.(公式 1)由公式:t1=0.7(R1+R2)C.(公式2) t2=0.7R2*C.(公式3)可計(jì)算出電阻 R 、 R12及電容C的值。若取電容C=10uF,則取R2=,取10武漢理工大學(xué)數(shù)字電子技術(shù)課程設(shè)計(jì)放大整形電路圖 7 放大整形電路放大整形電路由晶體管 3DG100 與 74LS00 等組成,其中 3DG100 組成放大器將輸入頻率為 f 的周期信號(hào)如正弦波、三角波進(jìn)行放大。與非門 74LS00 構(gòu)成施密特觸發(fā)器,它對(duì)放大器的輸出信號(hào)

15、進(jìn)行整形,使之成為矩形脈沖。邏輯控制電路根據(jù)圖 2 所示波形,在時(shí)基信號(hào) 2 結(jié)束時(shí)產(chǎn)生的下跳沿來產(chǎn)生鎖存信號(hào) 6,鎖存信號(hào) 6 的下跳沿又用來產(chǎn)生清零信號(hào) 5.脈沖信號(hào) 6 和 5 可由兩個(gè)單穩(wěn)態(tài)觸發(fā)器 74LS123 產(chǎn)生,它們的脈沖寬度有電路的時(shí)間常數(shù)決定。電路如圖 8 所示。11 武漢理工大學(xué)數(shù)字電子技術(shù)課程設(shè)計(jì)圖 8 邏輯控制電路由 74LS123 的功能表可得,當(dāng) MR=B=1,觸發(fā)脈沖從 A 端輸入時(shí),在觸發(fā)脈沖的負(fù)跳變作用下,輸出端1Q 可獲得一正脈沖,其波形關(guān)系正好滿足圖圖 2 所示波形 5 和 6 要求。手動(dòng)復(fù)位開關(guān) S 按下時(shí),計(jì)數(shù)器清零。表 174LS123 功能表MR

16、0 xx1Ax1x00Bxx01Q000正脈沖正脈沖Q111負(fù)脈沖負(fù)脈沖輸入輸出邏輯控制電路參數(shù)的確定:鎖存信號(hào) 6 和清零信號(hào) 5 脈沖的總的寬度要小于時(shí)基信號(hào)負(fù)脈沖的寬度。令鎖存信號(hào)和清零信號(hào)的脈沖寬度均為則由公式.(公式 4)取電阻則取標(biāo)稱值12 武漢理工大學(xué)數(shù)字電子技術(shù)課程設(shè)計(jì)計(jì)數(shù)器該部分常用的二五十進(jìn)制異步計(jì)數(shù)器 74LS90。將Q0 與 CPB 相連,脈沖從CPA 輸入,構(gòu)成 8421BCD 碼十進(jìn)制計(jì)數(shù)器。其功能表如表 2 所示。表 274LS90 功能表R0(1)R0(2)R9(1)R9(2)Q3Q2Q1Q0110 x000011x00000Xx111001x0 x0計(jì)數(shù)0 x

17、0 x計(jì)數(shù)0 xx0計(jì)數(shù)x00 x計(jì)數(shù)當(dāng) R9(1)R9(2)=0,且 R0(1)R0(2)=0 時(shí),計(jì)數(shù)器工作。計(jì)數(shù)器電路如圖 7 所示,R9(1)=0, R0(1)=SIGNAL5(SINGAL5 為邏輯控制電路產(chǎn)生的清零信號(hào))。CPA 輸入要計(jì)數(shù)的脈沖。計(jì)數(shù)電路如圖 9 所示。圖 9 計(jì)數(shù)鎖存電路13 武漢理工大學(xué)數(shù)字電子技術(shù)課程設(shè)計(jì)鎖存器在 1s 的標(biāo)準(zhǔn)時(shí)間信號(hào)高電平結(jié)束時(shí),鎖存器將計(jì)數(shù)器此時(shí)所計(jì)得的數(shù)進(jìn)行鎖存,使顯示器上能夠穩(wěn)定地顯示此時(shí)計(jì)數(shù)器的值。如圖 2 所示 1s 計(jì)數(shù)時(shí)間結(jié)束時(shí),邏輯控制電路發(fā)出鎖存信號(hào) 6,將此時(shí)計(jì)數(shù)器的值送譯碼顯示器??蛇x用 8D 鎖存器 74LS273

18、可以完成上述功能。當(dāng)時(shí)鐘脈沖 CP 的正跳變到來時(shí),鎖存器的輸出等于輸入,即Q=D。從而將計(jì)數(shù)器的輸出值送到鎖存器的輸出端。正脈沖結(jié)束 后,無論D 為何值,輸出端 Q 的狀態(tài)人保持原來的狀態(tài)不變。所以在計(jì)數(shù)期間內(nèi),計(jì)數(shù)器的輸出不會(huì)送到譯碼器顯示器,即顯示器的示數(shù)不會(huì)變。計(jì)數(shù)鎖存譯碼顯示電路如圖 9。顯示譯碼器采用四個(gè) 74LS48 及四個(gè)七段數(shù)碼管來實(shí)現(xiàn),電路圖如圖 10 所示。圖 10 顯示譯碼部分14 武漢理工大學(xué)數(shù)字電子技術(shù)課程設(shè)計(jì)總體電路設(shè)計(jì)被測信號(hào)經(jīng)由晶體管 3DG100 組成的放大器放大后,送到由與非門構(gòu)成的施密特觸發(fā)器的輸入端進(jìn)行整形,使之成為計(jì)數(shù)器所要求的脈沖信號(hào)。由于放大電路

19、的電源值為 5V, 所以輸入信號(hào)比較大時(shí),會(huì)出現(xiàn)線性失真,放大后的信號(hào)不會(huì)太大,超過5V。當(dāng)時(shí)基脈沖處于高電平時(shí),閘門電路打開,計(jì)數(shù)器對(duì)輸入的脈沖進(jìn)行計(jì)數(shù)。總電路圖如圖 11 所示。圖 11 頻率計(jì)總電路圖時(shí)基脈沖高電平持續(xù)時(shí)間是 1s。當(dāng) 1s 計(jì)數(shù)結(jié)束時(shí),閘門關(guān)閉,計(jì)數(shù)停止;74LS123 的 13 引腳產(chǎn)生一個(gè)正脈沖,脈沖送到鎖存器的時(shí)鐘脈沖輸入端,鎖存器將計(jì)數(shù)器此時(shí)的結(jié)果鎖存并顯示。此時(shí)顯示的數(shù)字就是被測信號(hào)的頻率。74LS123 的 13 引腳產(chǎn)生的正脈沖下調(diào)時(shí),74LS123 的 12 引腳產(chǎn)生一個(gè)負(fù)脈沖,對(duì)計(jì)數(shù)器進(jìn)行清零。鎖存和清零的全過程必須在時(shí)基信號(hào)處于低電平時(shí)完成,即在時(shí)基

20、信號(hào)下一個(gè)高電平到來之前, 74LS123 的 12 引腳產(chǎn)生的負(fù)脈沖結(jié)束,恢復(fù)到高電平。如果在時(shí)基信號(hào)下一個(gè)高電平到來以后 74LS123 的 12 引腳產(chǎn)生的負(fù)脈沖才結(jié)束,那么計(jì)數(shù)器計(jì)的計(jì)算的將是少于 1s 的時(shí)間的脈沖個(gè)數(shù),15武漢理工大學(xué)數(shù)字電子技術(shù)課程設(shè)計(jì)最后顯示將小于實(shí)際值。仿真結(jié)果在軟件 Protus 中畫好電路圖進(jìn)行仿真。開始的仿真結(jié)果并不正確,顯示的頻率和設(shè)定的輸入信號(hào)頻率差距很大,例如輸入信號(hào)頻率設(shè)為 100Hz,顯示數(shù)值為 46。經(jīng)過觀察各個(gè)功能模塊的輸出,通過分析發(fā)現(xiàn)問題出在控制電路產(chǎn)生的鎖存信號(hào)和清零信號(hào)的脈沖寬度 上,經(jīng)過閘門電路后的信號(hào)、時(shí)基信號(hào)、鎖存信號(hào)和清零信

21、號(hào)的波形圖如圖 12 所示。圖 12 信號(hào)時(shí)序圖波形從上到下依次為經(jīng)過閘門電路后的信號(hào)、時(shí)基信號(hào)、鎖存信號(hào)和清零信號(hào)。當(dāng)時(shí)基信號(hào)的 1s 高電平結(jié)束時(shí),鎖存信號(hào)上跳,計(jì)數(shù)結(jié)果顯示在數(shù)碼管上,當(dāng)鎖存信號(hào)下跳, 清零信號(hào)上跳,計(jì)數(shù)器清零端置“1”,直到清零信號(hào)脈沖結(jié)束,計(jì)數(shù)重新開始。從圖 9 中可以看出,清零信號(hào)脈沖結(jié)束之前,時(shí)基信號(hào)早已處于高電平,所以計(jì)數(shù)不是從時(shí)基信號(hào)16 武漢理工大學(xué)數(shù)字電子技術(shù)課程設(shè)計(jì)上跳時(shí)開始計(jì)數(shù)的,當(dāng) 1s 的時(shí)基信號(hào)高脈沖還沒結(jié)束時(shí),清零信號(hào)又處于高電平狀態(tài)。顯示結(jié)果當(dāng)然不正確。檢查邏輯控制部分電路,線路并沒有連接錯(cuò)誤,將元件參數(shù)改小一些后,結(jié)果還是沒有錯(cuò)誤。最后決定

22、將時(shí)基信號(hào)低電平時(shí)間延長,設(shè)為2s。由于 555 定時(shí)器組成的多諧振蕩器輸出信號(hào)低電平持續(xù)時(shí)間是小于高電平持續(xù)時(shí)間的,所以在振蕩器的輸出加了一個(gè)反相器,以達(dá)到目的。修改電路后仿真的結(jié)果如下:表 3波形仿真結(jié)果正弦波三角波幅值0.550.2頻率110001909010999顯示數(shù)字19991908210997波形三角波正弦波幅值50.25頻率10050001900019998顯示數(shù)字1004991899319990當(dāng)誤差最大時(shí),精確度為.(公式 5)因?yàn)?74LS 計(jì)數(shù)器是異步計(jì)數(shù)器,所以頻率越高計(jì)數(shù)速度越慢。17 武漢理工大學(xué)數(shù)字電子技術(shù)課程設(shè)計(jì)心得體會(huì)在這次數(shù)字電子技術(shù)課程設(shè)計(jì)中,雖然應(yīng)用的都是在書本上學(xué)過的知識(shí),但是只有應(yīng)用到實(shí)際中才算真正的學(xué)懂了這些知識(shí)。本次數(shù)字頻率計(jì)的涉及到了三極管放大電路、555 應(yīng)用,單穩(wěn)態(tài)觸發(fā)器的延時(shí)應(yīng)用,時(shí)序電路的計(jì)數(shù)、鎖存,組合電路的譯碼顯示等,涉及了數(shù)電所學(xué)的大部分內(nèi)容。通過這次課程設(shè)計(jì)實(shí)踐鞏固了學(xué)過的知識(shí)并能夠較好的利用, 是對(duì)自己一次很好的實(shí)踐鍛煉機(jī)會(huì)。課程設(shè)計(jì)實(shí)踐不單是將所學(xué)的知識(shí)應(yīng)用于實(shí)際,在設(shè)計(jì)的過程中,只擁有理論知識(shí)是不夠的。

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論