第9章-顯示器接口技術(shù)_第1頁
第9章-顯示器接口技術(shù)_第2頁
第9章-顯示器接口技術(shù)_第3頁
第9章-顯示器接口技術(shù)_第4頁
第9章-顯示器接口技術(shù)_第5頁
已閱讀5頁,還剩122頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、第9章 顯示器接口技術(shù) 9.1 LED顯示器原理及應(yīng)用 9.1.1 LED顯示器結(jié)構(gòu)與顯示原理 9.1.2 LED顯示器常見接口及驅(qū)動(dòng) 9.1.3 LED顯示器接口應(yīng)用示例 9.2 LCD顯示器原理及應(yīng)用 9.2.1 液晶模塊顯示原理 9.2.2 字符型液晶顯示器LCD1602A 9.2.3 FYD12864顯示模塊 9.2.4 漢字字模提取 在單片機(jī)應(yīng)用系統(tǒng)中,實(shí)用的顯示器主要有 LED發(fā)光二極管、LCD 液晶顯示器,近年來開始使用簡易的 CRT 接口。其中,LED 顯示器和 LCD 顯示器最為常見,它們具有成本低、配置靈活和與單片機(jī)接口方便等特點(diǎn)。 本章將主要介紹 LED 和 LCD 的顯

2、示原理和與單片機(jī)的接口方法。第9章 顯示器接口技術(shù) LED顯示器的結(jié)構(gòu)與顯示原理 9.1 LED顯示器原理及應(yīng)用 LED顯示器的結(jié)構(gòu)與顯示原理 LED顯示器是由發(fā)光二極管顯示字段組成的顯示器件,在單片機(jī)應(yīng)用系統(tǒng)中常用的是七段LED。這種顯示器有共陰極和共陽極兩種。共陰極LED顯示器的發(fā)光二極管的陰極接地,如圖(a),當(dāng)發(fā)光二極管的陽極為高電平時(shí),發(fā)光二極管點(diǎn)亮;共陽極LED顯示器的發(fā)光二極管的陽極接5V,如圖(b),當(dāng)發(fā)光二極管的陰極為低電平時(shí),發(fā)光二極管點(diǎn)亮。9.1 LED顯示器原理及應(yīng)用 七段LED顯示器的段選碼 9.1 LED顯示器原理及應(yīng)用顯示字符共陰極共陽極顯示字符共陰極共陽極03F

3、HC0HB7CH83H106HF9HC39HC6H25BHA4HD5EHA1H34FHB0HE79H86H466H99HF71H8EH56DH92HP73H8CH67DH82HU3EHC1H707HF8H31HCEH87FH80HY6EH91H96FH90H8.FFH00HA77H88H“滅”00HFFH LED顯示器的顯示方式 在單片機(jī)應(yīng)用系統(tǒng)中,可利用 LED 顯示器方便靈活地構(gòu)成所要求位數(shù)的顯示器。 N 位的 LED 顯示器有 N 根“位選線”和 8N 根“段選線”。根據(jù)顯示方式的不同,位選線和段選線的接線方式不同。位選線用于選擇被顯示的顯示器,段選線用于顯示需要顯示的數(shù)字。9.1 LE

4、D顯示器原理及應(yīng)用 LED顯示器的顯示方式 LED靜態(tài)顯示方式 LED 在靜態(tài)顯示方式下,每一位顯示數(shù)據(jù)的段選線與單片機(jī)的一個(gè) 8 位并行口連接,如下頁圖所示。這樣,顯示器的每一位均可以獨(dú)立顯示,只要該位的段選線上能夠保持相應(yīng)的段選碼不變,則該位就能持續(xù)顯示相應(yīng)的字符。由于每一位字符由一個(gè) 8 位輸出口控制,故在同一時(shí)刻各位顯示器可顯示不同字符。 N 位靜態(tài)顯示器要求有 N8 根 I/O口線,占用較多的 I/O 口資源,故在顯示位數(shù)較多時(shí)不常采用。9.1 LED顯示器原理及應(yīng)用 LED顯示器的顯示方式 4位LED的靜態(tài)顯示9.1 LED顯示器原理及應(yīng)用 LED顯示器的顯示方式 LED動(dòng)態(tài)顯示方

5、式 LED 動(dòng)態(tài)顯示是將所有位的段選線并接在一個(gè) I/O 口上,共陰極端或共陽極端分別由其他 I/O 口控制。9.1 LED顯示器原理及應(yīng)用 LED顯示器的顯示方式 LED動(dòng)態(tài)顯示方式 由于每一位的段選線都連接在一個(gè) I/O 口上,因此每送一個(gè)段選碼,8 位顯示器均能接收到該字符。如果直接進(jìn)行顯示,顯然該顯示器不能正常工作。解決此問題的方法是利用人的視覺滯留現(xiàn)象。 在段選線 I/O 口上按位次分別送顯示字符的段選碼,在位選線控制口上也按相應(yīng)次序分別選通相應(yīng)的顯示器,被選通的顯示器將顯示相應(yīng)字符,并保持幾毫秒的延時(shí)時(shí)間,未選通的顯示器為熄滅狀態(tài),不顯示字符。然后對(duì)各位進(jìn)行循環(huán)顯示,即為動(dòng)態(tài)顯示。

6、9.1 LED顯示器原理及應(yīng)用 LED顯示器的顯示方式 LED動(dòng)態(tài)顯示方式 從計(jì)算機(jī)的工作過程來看,在任一瞬間只有一個(gè) LED 顯示器在顯示字符,其他各位顯示器均處于“正在熄滅狀態(tài)”。但是由于人的視覺滯留,這種動(dòng)態(tài)變化是察覺不到的。從效果上來看,各位顯示器均能連續(xù)、穩(wěn)定地顯示不同的字符。9.1 LED顯示器原理及應(yīng)用 LED顯示器常見接口及驅(qū)動(dòng) 硬件譯碼顯示器接口 硬件譯碼是采用專門的轉(zhuǎn)換器件芯片來實(shí)現(xiàn)字母、數(shù)字的二進(jìn)制數(shù)值到段選碼的轉(zhuǎn)換。 如 Motorola 公司生產(chǎn)的 MC14495,它是 CMOS BCD七段十六進(jìn)制鎖存、譯碼驅(qū)動(dòng)芯片。單片機(jī)應(yīng)用系統(tǒng)中常要求顯示十六進(jìn)制及十進(jìn)制帶小數(shù)點(diǎn)

7、的數(shù),使用 MC14494 芯片是非常方便的。9.1 LED顯示器原理及應(yīng)用 LED顯示器常見接口及驅(qū)動(dòng) 硬件譯碼顯示器接口 MC14495 9.1 LED顯示器原理及應(yīng)用 LED顯示器常見接口及驅(qū)動(dòng) 硬件譯碼顯示器接口 MC14495 引腳 LE 是數(shù)據(jù)鎖存端,LE為 0 時(shí)輸入數(shù)據(jù),LE 為 1 時(shí)鎖存數(shù)據(jù),即 LE 的上升沿實(shí)現(xiàn)鎖存。 h+i 引腳是譯碼器輸入值大于等于 10 的指示端,當(dāng)輸入數(shù)據(jù)大于等于 10 時(shí),該引腳輸出高電平;VCR 端是輸入為 15 時(shí)的指示端,當(dāng)輸入數(shù)據(jù)為 15 時(shí),該引腳輸出低電平。 9.1 LED顯示器原理及應(yīng)用 使用MC14495的多位LED靜態(tài)顯示接口

8、9.1 LED顯示器原理及應(yīng)用 使用MC14495的多位LED靜態(tài)顯示接口 圖中使用 MC14495 構(gòu)成了 8 位 LED 靜態(tài)顯示接口電路,該電路可直接顯示多位十六進(jìn)制數(shù)。若要顯示帶小數(shù)點(diǎn)的十進(jìn)制數(shù),則只要在 LED 的 dp 端另加驅(qū)動(dòng)控制即可。LED 顯示塊采用共陰極接法。由于 MC14495 有輸出限流電阻,故 LED不需外加限流電阻。 該電路程序設(shè)計(jì)較為簡單。當(dāng) P1.7 為 1 時(shí),開顯示,由P1.4、P1.5、P1.6 控制各 MC14495 的 LE 端依次選中一位 LED,然后由 P1.0P1.3 送入 BCD 碼,再使 LE 變?yōu)楦唠娖?,鎖存該位數(shù)據(jù)并譯碼、驅(qū)動(dòng)顯示。9.

9、1 LED顯示器原理及應(yīng)用 靜態(tài)顯示程序示例 下圖是采取靜態(tài)顯示方式,單片機(jī)的 P0 口和 P1 口分別連接兩個(gè)共陽極 7 段數(shù)碼管。編寫控制程序,實(shí)現(xiàn) P0 口上數(shù)碼管從 0 到 9 循環(huán)顯示,P1 口上數(shù)碼管從 9 到 1 循環(huán)顯示。9.1 LED顯示器原理及應(yīng)用 靜態(tài)顯示程序示例 # include void delay( unsigned char n ); int main( void ) unsigned char led = 0 xC0 , 0 xF9 , 0 xA4 , 0 xB0 , 0 x99 , 0 x92 , 0 x82 , 0 xF8 , 0 x80 , 0 x90

10、; /09的字型碼 unsigned char i; while( 1 ) for( i=0 ; i10 ; i+ ) P0 = led i ; P2 = led 9-i ; delay( 200 ); /延時(shí) 9.1 LED顯示器原理及應(yīng)用 動(dòng)態(tài)顯示程序示例 下圖中 2 個(gè)共陽極數(shù)碼管采取動(dòng)態(tài)顯示方式與單片機(jī)相連接。P0 口為段選端,P2.6 和 P2.7 分別與三極管基極相連做位選端。要求 2 個(gè)數(shù)碼管能夠分別顯示“1”和“2”。9.1 LED顯示器原理及應(yīng)用 動(dòng)態(tài)顯示程序示例 #include unsigned char led = 0 xf9 , 0 xa4 ; / “1”和“2”字型

11、碼 unsigned char segment = 0 x7f , 0 xbf ; / 2個(gè)數(shù)碼管的位選碼 unsigned char k = 0; /全局變量,用于標(biāo)識(shí)顯示器位置 int main( void ) TMOD = 0 x00; /設(shè)定工作方式0 TL0 = ( 8192-2000 ) % 32; /低5位賦值 TH0 = ( 8192-2000 ) / 32; /高8位賦值 TR0 = 1; EA = 1; ET0 = 1; /開放中斷 while( 1 ); 9.1 LED顯示器原理及應(yīng)用 動(dòng)態(tài)顯示程序示例 void T0_timer( void ) interrupt 1

12、/中斷服務(wù)程序 P0 = led k ;/段選賦值 P2 = segment k ; /位選賦值 k+; /下一個(gè)數(shù)碼管 if( k=2 ) k = 0; /顯示完最后一個(gè)數(shù)碼管,重新從頭開始。 TL0 = ( 8192-2000 ) % 32; TH0 = ( 8192-2000 ) / 32; /重新寫入初始值,即重新定時(shí) 9.1 LED顯示器原理及應(yīng)用 LED顯示器常見接口及驅(qū)動(dòng) 軟件譯碼顯示器接口 軟件譯碼是把各字符的段選碼組織在一個(gè)表中,要顯示某個(gè)字符時(shí),先查表得到其段選碼,然后再送往顯示器的段選線。 在單片機(jī)應(yīng)用系統(tǒng)中,多采用軟件譯碼的動(dòng)態(tài)顯示方法。圖 9.6 是 51 單片機(jī)通過

13、 8155 擴(kuò)展 I/O 控制的 8 位 LED 動(dòng)態(tài)顯示接口。圖中的 PB 口輸出段選碼,PA 口輸出位選碼,位選碼占用的輸出口線取決于顯示器的位數(shù)。BIC8718 為 8 位集成驅(qū)動(dòng)芯片。9.1 LED顯示器原理及應(yīng)用 軟件譯碼方式下的動(dòng)態(tài)顯示接口 9.1 LED顯示器原理及應(yīng)用 動(dòng)態(tài)顯示程序設(shè)計(jì)(匯編程序) 例:設(shè) 51 單片機(jī)片內(nèi) RAM 的 78H7FH 單元為顯示緩沖區(qū),從低到高依次存放 8 個(gè)要顯示的數(shù)據(jù),以非壓縮形式的 BCD碼存放。其相應(yīng)的動(dòng)態(tài)顯示程序如下:DISPLAY:MOV A,#00000011B ;8155初始化 MOV DPTR,#7F00H ;DPTR指向815

14、5控制 MOVX DPTR,A ;寄存器 MOV R0,#78H ;R0指向緩沖區(qū)首址 MOV R3,#7FH ;首位位選字送R3(#7FH) MOV A,R39.1 LED顯示器原理及應(yīng)用 動(dòng)態(tài)顯示程序設(shè)計(jì) LD0:MOV DPTR,#7F01H ;使DPTR指向PA口 MOVX DPTR,A ;選通顯示器低位 INC DPTR ;使DPTR指向PB口 MOV A,R0 ;讀要顯示數(shù) ADD A,#0DH ;調(diào)整段選碼表首偏移量 MOVC A,A+PC ;查表取得段選碼 MOVX DPTR,A ;段選碼從PB口輸出 ACALL DL1 ;調(diào)用1ms延時(shí)子程序9.1 LED顯示器原理及應(yīng)用 動(dòng)

15、態(tài)顯示程序設(shè)計(jì) INC R0 ;指向緩沖區(qū)下一單元 MOV A,R3 ;位選碼送累加器A JNB ACC.0,LD1 ;判斷8位是否顯示完 RR A ;未顯示完,選下一位 MOV R3,A ;修改后的位選字送R3 AJMP LD0 ;循環(huán)實(shí)現(xiàn)按位序依次顯示 LD1:RET 注意:查表求得段選碼時(shí)的“ADD A,#0DH”指令。為什么要加上“#0DH”?9.1 LED顯示器原理及應(yīng)用 動(dòng)態(tài)顯示程序設(shè)計(jì) DSEG:DB 3FH,06H,5BH,4FH,66H,6DH DB 7DH,07H,7FH,6FH,77H,7CH DB 39H,5EH,79H,71H ;段碼表 DL1:MOV R7,#02H

16、 ;延時(shí)1ms子程序 DL:MOV R6,#0FFH DL0:DJNZ R6,DL0 DJNZ R7,DL RET9.1 LED顯示器原理及應(yīng)用 動(dòng)態(tài)顯示程序設(shè)計(jì)(C51程序) 例9-1:循環(huán)顯示 8 位字符。設(shè) 8 位待顯示的字符從左到右依次存放在 dis_buf 數(shù)組中,顯示次序從右到左進(jìn)行。程序中的 table1 為段選碼表,依次存放 09 的段選碼。其相應(yīng)的動(dòng)態(tài)顯示程序如下: #include #include #define COM8155 XBYTE 0 x7f00 #define PA8155 XBYTE 0 x7f01 #define PB8155 XBYTE 0 x7f02

17、uchar idata dis_buf 8 = 1 , 2 , 3 , 4 , 5 , 6 , 7 , 8 ; uchar code table1 10 = 0 x3f , 0 x06 , 0 x5b , 0 x4f , 0 x66 , 0 x6d , 0 x7d , 0 x07 , 0 x7f , 0 x6f ;9.1 LED顯示器原理及應(yīng)用 動(dòng)態(tài)顯示程序設(shè)計(jì)(C51程序) void dl_ms( uchar d ); void display( uchar idata *p ) uchar sel , i; COM8155 = 0 x03; sel = 0 x01; for( i=0 ;

18、i8 ; i+ ) PB8155 = table1 *p ; PA8155 = sel; dl_ms( 1 ); p-; sel = sel 1; 9.1 LED顯示器原理及應(yīng)用 動(dòng)態(tài)顯示程序設(shè)計(jì)(C51程序) void main( void ) while( 1 ) / 循環(huán)顯示 display( dis_buf + 7 ); 9.1 LED顯示器原理及應(yīng)用 鍵盤、顯示器組合接口電路 根據(jù)鍵盤和顯示器的工作原理,可以將二者結(jié)合起來與單片機(jī)進(jìn)行接口,這樣既可以簡化接口電路,節(jié)省I /O 口線,同時(shí)又可使掃描程序交替工作,提高程序的執(zhí)行效率。 在鍵盤掃描程序中,為消除抖動(dòng)需調(diào)用延時(shí)子程序,經(jīng)組合

19、接口后,可利用調(diào)用動(dòng)態(tài)顯示子程序來實(shí)現(xiàn)消抖延時(shí),從而達(dá)到一舉兩得的效果。 鍵盤、顯示器組合接口電路見下頁所示。9.1 LED顯示器原理及應(yīng)用鍵盤、顯示器組合接口電路 9.1 LED顯示器原理及應(yīng)用 鍵盤、顯示器組合接口電路 圖 9.7 是一個(gè)采用 8155 并行擴(kuò)展口構(gòu)成的鍵盤、顯示器組合接口電路。圖中設(shè)置了 32 個(gè)鍵,如果使用更多的 PC口線,則可以增加按鍵,最多可達(dá) 68=48 個(gè)鍵。用戶可根據(jù)實(shí)際需要進(jìn)行設(shè)置。 LED 顯示器采用共陰極。段選碼由 8155的 PB口提供,位選碼由 PA 口提供。鍵盤的列輸入由 PA 口提供,與顯示器的位選輸入端公用,行輸入由 PC0PC3 提供。顯然,

20、因?yàn)殒I盤與顯示器共用了 PA 口,所以比單獨(dú)接口節(jié)省了一個(gè) I/O 口。9.1 LED顯示器原理及應(yīng)用 鍵盤、顯示器組合接口電路 LED 采用動(dòng)態(tài)顯示、軟件譯碼,鍵盤采用逐列掃描查詢工作方式。由于鍵盤與顯示做成一個(gè)接口電路,因此在軟件中合并考慮鍵盤查詢與動(dòng)態(tài)顯示,鍵盤消抖的延時(shí)子程序可用顯示子程序替代。 單獨(dú)的鍵盤與顯示器程序前面已經(jīng)有過敘述,不再重復(fù)注釋。相應(yīng)的匯編程序如下頁所示。9.1 LED顯示器原理及應(yīng)用 鍵盤、顯示器組合接口電路程序(1) KD1:MOV A,#03H ;初始化8155,PA、PB MOV DPTR,#7F00H ;口為基本輸出,PC口 MOVX DPTR,A ;為基

21、本輸入 KEY1:ACALL KS1 JNZ LK1 ACALL DISPLAY ;調(diào)用顯示子程序?qū)崿F(xiàn) ;延時(shí),防止抖動(dòng) AJMP KEY1 ;延時(shí)后再檢測鍵盤9.1 LED顯示器原理及應(yīng)用 鍵盤、顯示器組合接口電路程序(2) LK1:ACALL DISPLAY ;調(diào)用兩次顯示實(shí)現(xiàn)延時(shí) ACALL DISPLAY ACALL KS1 JNZ LK2 ACALL DISPLAY AJMP KEY1 LK2:MOV R2,#0FEH MOV R4,#00H9.1 LED顯示器原理及應(yīng)用 鍵盤、顯示器組合接口電路程序(3) LK4:MOV DPTR,#7F01H MOV A,R2 MOVX DPTR

22、,A INC DPTR INC DPTR MOVX A,DPTR JB ACC.0,LONE MOV A,#00H AJMP LKP9.1 LED顯示器原理及應(yīng)用 鍵盤、顯示器組合接口電路程序(4) LONE:JB ACC.1,LTWO MOV A,#08H AJMP LKP LTWO:JB ACC.2,LTHR MOV A,#10H AJMP LKP LTHR:JB ACC.3,NEXT MOV A,#18H9.1 LED顯示器原理及應(yīng)用 鍵盤、顯示器組合接口電路程序(5) LKP:ADD A,R4 PUSH ACC LK3:ACALL DISPLAY ACALL KS1 JNZ LK3 P

23、OP ACC RET9.1 LED顯示器原理及應(yīng)用 鍵盤、顯示器組合接口電路程序(6) NEXT:INC R4 MOV A,R2 JNB ACC.7,KEND RL A MOV R2,A AJMP LK4 KEND:AJMP KEY19.1 LED顯示器原理及應(yīng)用 鍵盤、顯示器組合接口電路程序(7) KS1:MOV DPTR,#7F01H MOV A,#00H MOVX DPTR,A INC DPTR INC DPTR MOVX A,DPTR CPL A ANL A,#0FH RET9.1 LED顯示器原理及應(yīng)用 LED顯示器的驅(qū)動(dòng)電路設(shè)計(jì) LED顯示器的驅(qū)動(dòng)有靜態(tài)鎖存和動(dòng)態(tài)掃描兩種方式。靜態(tài)

24、鎖存方式也稱直流驅(qū)動(dòng),是指每個(gè)數(shù)碼管都用一個(gè)譯碼器(如4511芯片)進(jìn)行譯碼驅(qū)動(dòng),這種方式下的顯示內(nèi)容可保持,無需CPU進(jìn)行動(dòng)態(tài)刷新,可提高CPU效率,但是要求硬件資源多,接口復(fù)雜,而且功耗大,一般不采用。 動(dòng)態(tài)掃描方式是所有數(shù)碼管共同使用一個(gè)譯碼驅(qū)動(dòng)器,使各位數(shù)碼管逐個(gè)輪流受控顯示,當(dāng)掃描頻率很高的時(shí)候,其顯示效果也非常良好。這種方式功耗小,硬件資源要求少,所以應(yīng)用較多。9.1 LED顯示器原理及應(yīng)用 LED顯示器的驅(qū)動(dòng)電路設(shè)計(jì) 用非門實(shí)現(xiàn)LED驅(qū)動(dòng) 9.1 LED顯示器原理及應(yīng)用 LED顯示器的驅(qū)動(dòng)電路設(shè)計(jì) 用非門實(shí)現(xiàn)LED驅(qū)動(dòng) 上頁圖以動(dòng)態(tài)掃描方式為例介紹了 LED 數(shù)碼管驅(qū)動(dòng)電路的設(shè)計(jì)

25、方法。圖中僅用一個(gè)譯碼器 74LS373 作為數(shù)據(jù)總線的驅(qū)動(dòng),該芯片連成直通方式,共陰極數(shù)碼管的陰極用 74LS04 芯片(反向器)驅(qū)動(dòng),顯示字符的段選碼字由 P1 口提供,數(shù)碼管的選擇由 P3 口控制。 在掃描顯示中,每位顯示器的點(diǎn)亮?xí)r間是極為短暫的(約1ms),由于人的視覺留滯現(xiàn)象及發(fā)光二極管的余輝效應(yīng),盡管實(shí)際上各位顯示器并非同時(shí)點(diǎn)亮,但只要掃描速度足夠快,給人的印象就是一組穩(wěn)定的顯示數(shù)據(jù),不會(huì)有閃爍感。9.1 LED顯示器原理及應(yīng)用 LED顯示器的驅(qū)動(dòng)電路設(shè)計(jì) 用非門實(shí)現(xiàn)LED驅(qū)動(dòng) 上頁圖以動(dòng)態(tài)掃描方式為例介紹了 LED 數(shù)碼管驅(qū)動(dòng)電路的設(shè)計(jì)方法。圖中僅用一個(gè)譯碼器 74LS373 作

26、為數(shù)據(jù)總線的驅(qū)動(dòng),該芯片連成直通方式,共陰極數(shù)碼管的陰極用 74LS04 芯片(反向器)驅(qū)動(dòng),顯示字符的段選碼字由 P1 口提供,數(shù)碼管的選擇由 P3 口控制。 動(dòng)態(tài)顯示參考程序如下:程序的功能是首先用5個(gè)LED顯示器顯示15這5個(gè)數(shù)字,然后顯示全局整型變量 para 的值,在顯示整數(shù)之前,要把該整數(shù)的各位的值算出來,然后按位順序進(jìn)行顯示,從中可以領(lǐng)會(huì)求取整數(shù)的各位的值的方法。9.1 LED顯示器原理及應(yīng)用 LED顯示器的驅(qū)動(dòng)電路設(shè)計(jì) 用非門實(shí)現(xiàn)LED驅(qū)動(dòng) #include unsigned int para; void main( ) unsigned char code zixing1 1

27、0 = 0 x3f , 0 x06 , 0 x5b , 0 x4f , 0 x66 , 0 x6d , 0 x7d , 0 x07 , 0 x7f , 0 x6f ; unsigned char j , k , zixing2 5 ; while( 1 ) P3 = 0 x01; for( j=1 ; j6 ; j+ ) P1 = zixing1 j ; P3 1; 9.1 LED顯示器原理及應(yīng)用 LED顯示器的驅(qū)動(dòng)電路設(shè)計(jì) zixing2 0 = zixing1 para/10000 ; zixing2 1 = zixing1 ( para/1000 ) % 10 ; zixing2 2 =

28、 zixing1 ( para/100 ) % 10 ; zixing2 3 = zixing1 ( para/10 ) % 10 ; zixing2 4 = zixing1 para % 10 ; P3 = 0 x01; for( j=0 ; j5 ; j+) P1 = zixing2 j ; P3 1; for( k=0 ; k20 ; k+ ); / 延時(shí),控制掃描頻率 9.1 LED顯示器原理及應(yīng)用 LED顯示器的驅(qū)動(dòng)電路設(shè)計(jì) 用三極管實(shí)現(xiàn)LED驅(qū)動(dòng) 9.1 LED顯示器原理及應(yīng)用 LED顯示器的驅(qū)動(dòng)電路設(shè)計(jì) 用三極管實(shí)現(xiàn)LED驅(qū)動(dòng) 9.1 LED顯示器原理及應(yīng)用 LED顯示器的驅(qū)動(dòng)電

29、路設(shè)計(jì) 用三極管實(shí)現(xiàn)LED驅(qū)動(dòng) 上頁圖所示的 LED 顯示器是采用 74LS138 譯碼器芯片和 PNP 型三極管實(shí)現(xiàn)驅(qū)動(dòng)的,為動(dòng)態(tài)掃描方式,數(shù)碼管為共陽極接法。 圖中,LED 顯示器的段選端未畫出,而 Q0Q7 為對(duì)應(yīng)于 8 個(gè) LED 顯示器的控制端(公共端)。當(dāng) 74LS138 某個(gè)譯碼端輸出有效時(shí)(低電平),相應(yīng)的三極管導(dǎo)通,LED 顯示器控制端有效,段選碼所對(duì)應(yīng)的數(shù)字或字母在該顯示器上得以顯示。 9.1 LED顯示器原理及應(yīng)用 LED顯示器的驅(qū)動(dòng)電路設(shè)計(jì) 用三極管實(shí)現(xiàn)LED驅(qū)動(dòng) 上頁圖所示的 LED 顯示器是采用 74LS138 譯碼器芯片和 PNP 型三極管實(shí)現(xiàn)驅(qū)動(dòng)的,為動(dòng)態(tài)掃描方

30、式,數(shù)碼管為共陽極接法。 用動(dòng)態(tài)掃描方式控制 8 位 LED 顯示器的方法就是令74LS138 的譯碼端輸出依次有效,三極管 V0V7 依次導(dǎo)通,單片機(jī)輸出的段選碼依次在各 LED 上顯示,并不斷循環(huán)。只要?jiǎng)討B(tài)顯示的掃描速度足夠快,則 LED 顯示器將處于“連續(xù)、持續(xù)”的點(diǎn)亮狀態(tài)。9.1 LED顯示器原理及應(yīng)用 LED顯示器的驅(qū)動(dòng)電路設(shè)計(jì) 用三極管實(shí)現(xiàn)LED驅(qū)動(dòng) 在圖中,譯碼器 74LS138 的 G2A、G2B 接地,G1 端接P2.6 口線,地址輸入端由 P1.4、P1.3 和 P1.2 口線控制。因此P2.6 口線為高電平就可選通 74LS138,此時(shí) P1.4、P1.3 和 P1.2

31、口線的狀態(tài)就決定了譯碼器的輸出,也就決定了某個(gè) LED 顯示器將被點(diǎn)亮。所以,若需最左側(cè) LED 點(diǎn)亮,則需三極管 V7 導(dǎo)通,因此需要 P1=0 xbf(計(jì)算此值時(shí)要考慮 P1 口其它引腳的電平不應(yīng)引起其它元件誤動(dòng)作),即可保證 P1.4、P1.3 和 P1.2 口線為“1”,最左側(cè)第一個(gè) LED 顯示器被點(diǎn)亮。9.1 LED顯示器原理及應(yīng)用 LED顯示器的驅(qū)動(dòng)電路設(shè)計(jì) 用三極管實(shí)現(xiàn)LED驅(qū)動(dòng) C51參考驅(qū)動(dòng)程序如下:(程序功能為8個(gè)LED顯示器依次顯示07共8個(gè)數(shù)字)。 #include #define uchar unsigned char sbit a_138 = P12; sbit

32、b_138 = P13; sbit c_138 = P14; / 定義74LS138的A0、A1和A2的口線 sbit cs_138 = P26; / 138片選,高電平有效; sbit cs_373 = P15; /373片選,高電平有效; uchar code zima10 = 0 xc0 , 0 xf9 , 0 xa4 , 0 xb0 , 0 x99 , 0 x92 , 0 x82 , 0 xf8 , 0 x80 , 0 x90 ;/ 共陽極字形代碼 9.1 LED顯示器原理及應(yīng)用 LED顯示器的驅(qū)動(dòng)電路設(shè)計(jì) void display( uchar pos , uchar num , b

33、it dp ); / 聲明顯示函數(shù) main( ) uchar i , j; cs_138 = 1; /選通74LS138 cs_373 = 1; /選通74LS373 while(1) for( i=0 ; i8 ; i+ ) display( i , i , 0 ); for( j=0 ; j200 ; j+ ); /延時(shí),控制掃描頻率 9.1 LED顯示器原理及應(yīng)用 LED顯示器的驅(qū)動(dòng)電路設(shè)計(jì) void display( uchar pos , uchar num , bit dp ) /顯示函數(shù)定義 if( dp=1 ) P0 = zimanum+0 x80; /顯示小數(shù)點(diǎn) else

34、P0 = zimanum; /不顯示小數(shù)點(diǎn) switch( pos ) case 0 : P1=0 xbf ; break ; /位置0,對(duì)應(yīng)最左邊數(shù)碼管 case 1 : P1=0 xbb ; break ; /位置1 case 2 : P1=0 xb7 ; break ; /位置2 case 3 : P1=0 xb3 ; break ; /位置3 case 4 : P1=0 xaf ; break ; /位置4 9.1 LED顯示器原理及應(yīng)用 LED顯示器的驅(qū)動(dòng)電路設(shè)計(jì) case 4 : P1=0 xaf ; break ; /位置4 case 5 : P1=0 xab ; break ;

35、 /位置5 case 6 : P1=0 xa7 ; break ; /位置6 case 7 : P1=0 xa3 ; break ; /位置7,對(duì)應(yīng)最右邊數(shù)碼管 default : break ; 9.1 LED顯示器原理及應(yīng)用 LED顯示器接口應(yīng)用示例 例:8051 單片機(jī) P3 口為輸入/輸出口,連接 44 矩陣式鍵盤,按鍵編號(hào)為 0F;P0 口為輸出口,接一位共陰極七段數(shù)碼管。要求:按下任意鍵時(shí),數(shù)碼管顯示該鍵的鍵碼。9.1 LED顯示器原理及應(yīng)用 LED顯示器接口應(yīng)用示例 例:C51程序如下所示。 # include # include # define uchar unsigned

36、char # define uint unsigned int uchar code Display_Code = 0 xc0 , 0 xf9 , 0 xa4 , 0 xb0 , 0 x99 , 0 x92 , 0 x82 , 0 xf8 , 0 x80 , 0 x90 , 0 x88 , 0 x83 , 0 xc6 , 0 xa1 , 0 x86 , 0 x8e , 0 x00 ; uchar Pre_KeyNumber = 16 , KeyNumber = 16 ; / 前次按鍵和當(dāng)前 / 按鍵鍵碼 void Delay_MS( uint x ) / 延時(shí)函數(shù) uchar i ; whil

37、e( x- ) for( i=0 ; i4 0 x0f ; switch( Temp ) case 1 : KeyNumber += 0 ; break ; case 2 : KeyNumber += 4 ; break ; case 4 : KeyNumber += 8 ; break ; case 8 : KeyNumber += 12 ; break ; 9.1 LED顯示器原理及應(yīng)用 LED顯示器接口應(yīng)用示例 void main( ) / 主程序 P0 = 0 x00 ; while( 1 ) P3 = 0 xf0 ; if( P3 != 0 xf0 ) Keys_Scan( ) ;

38、/ 獲取鍵碼 if( Pre_KeyNumber != KeyNumber ) / 前次鍵碼與當(dāng)前鍵碼不同 P0 = Display_Code KeyNumber ; Pre_KeyNumber = KeyNumber ; Delay_MS( 100 ) ; 9.1 LED顯示器原理及應(yīng)用 液晶顯示器概述 液晶顯示器工作原理9.2 LCD顯示器原理及應(yīng)用 液晶顯示器概述 液晶顯示器工作原理 在上、下玻璃電極之間封入液晶材料,液晶分子平行排列,上下扭曲 90。外部入射光通過上偏振片后形成偏振光,該偏振光通過液晶材料被旋轉(zhuǎn) 90,再通過與上偏振片垂直的下偏振片,被反射板反射回來呈透明狀態(tài)。當(dāng)上、下

39、電極加上一定電壓后,電極部分的液晶分子為垂直狀態(tài),失去旋光性,從上偏振片入射的偏振光不被旋轉(zhuǎn),光無法通過下偏振片返回,就可得到白底黑字或黑底白字的顯示形式。9.2 LCD顯示器原理及應(yīng)用 液晶顯示器概述 液晶顯示器工作原理 常用液晶顯示器主要有扭曲向列型(TN)和超扭曲向列型(STN)兩種。上面介紹的是扭曲向列型液晶顯示器的工作原理,其內(nèi)部液晶分子呈 90 扭曲,當(dāng)線性偏振光透過其偏振面時(shí)便會(huì)旋轉(zhuǎn) 90。TN 型液晶顯示器是最常用的液晶顯示器件,常用的手表、數(shù)字儀表、電子鐘及大部分計(jì)算器所用的液晶顯示器件都是 TN 型器件。9.2 LCD顯示器原理及應(yīng)用 液晶顯示器概述 液晶顯示器工作原理 超

40、扭曲向列型(STN)液晶顯示器的基本結(jié)構(gòu)和 TN 模式一樣,只不過盒中液晶分子排列不是沿 90 扭曲排列,而是沿著 180360 扭曲排列。也就是說,STN 型液晶與 TN 型液晶的顯示原理相同,只是它將入射光旋轉(zhuǎn) 180360,而不是90。 目前幾乎所有的點(diǎn)陣圖形和大部分點(diǎn)陣字符型液晶顯示器件都采用 STN 模式,在技術(shù)上已處于完善和成熟階段。 9.2 LCD顯示器原理及應(yīng)用 液晶顯示器概述 液晶顯示器工作原理 單純的 TN 型液晶顯示器本身只有明暗兩種變化,而 STN型液晶顯示器則以淡色和橘色為主。如果在傳統(tǒng)單色 STN 型液晶顯示器中加上一個(gè)彩色濾光片,并將單色顯示矩陣中的每一像素分成三

41、個(gè)子像素,分別通過彩色濾光片顯示紅、綠、藍(lán)三色,就可以顯示出彩色了。9.2 LCD顯示器原理及應(yīng)用 液晶顯示器概述 液晶顯示器主要技術(shù)參數(shù) (1)響應(yīng)時(shí)間:毫秒級(jí) (2)余暉:毫秒級(jí) (3)閾值電壓:320V (4)功耗:5100mW/cm2 不同的液晶顯示器其技術(shù)參數(shù)各不相同,使用時(shí)可根據(jù)應(yīng)用要求進(jìn)行選取。9.2 LCD顯示器原理及應(yīng)用 液晶顯示器概述 液晶顯示器的分類 從產(chǎn)品形式上液晶顯示器可分為兩大類:液晶顯示器件(LCD)和液晶顯示模塊(LCM);從驅(qū)動(dòng)方式上可分為內(nèi)置驅(qū)動(dòng)控制器的液晶顯示器模塊和無控制器的液晶顯示器件;從顯示顏色上可分為單色和彩色;從顯示方式上可分為正性顯示、負(fù)性顯示

42、、段性顯示、點(diǎn)陣顯示、字符顯示、圖形顯示、圖像顯示、非存儲(chǔ)型顯示、存儲(chǔ)型顯示等。 具體應(yīng)用中,可根據(jù)不同的顯示要求選擇合適的液晶顯示器。 9.2 LCD顯示器原理及應(yīng)用 字符型液晶顯示模塊LCM的組成和原理 液晶顯示模塊LCM簡介 液晶顯示器件是一種高新技術(shù)的基礎(chǔ)元器件。雖然其應(yīng)用已很廣泛,但對(duì)許多人來說,使用、裝配時(shí)仍感到困難,特別是點(diǎn)陣液晶型顯示器件,更是讓使用者無從下手,而特殊的連接方式和所需的專用設(shè)備也非人人了解和具備。因此,將液晶顯示器件與控制、驅(qū)動(dòng)集成電路裝在一起,形成一個(gè)功能部件,用戶只需用傳統(tǒng)工藝即可將其裝配成一個(gè)整體系統(tǒng),這樣就形成了實(shí)際應(yīng)用中的液晶顯示模塊。9.2 LCD顯

43、示器原理及應(yīng)用 字符型液晶顯示模塊LCM的組成和原理 液晶顯示模塊LCM簡介 將液晶顯示器件、連接件、集成電路、PCB 線路板、背光源、結(jié)構(gòu)件裝配在一起的組件稱為液晶顯示模塊,英文名稱叫“LCD Module”,簡稱LCM,中文稱為液晶顯示模塊。9.2 LCD顯示器原理及應(yīng)用 字符型液晶顯示模塊LCM的組成和原理 液晶顯示模塊LCM的分類 實(shí)際使用中的通用液晶顯示模塊主要有通用段式液晶顯示模塊、通用段式液晶顯示屏、點(diǎn)陣字符型液晶顯示模塊、點(diǎn)陣圖形液晶顯示模塊等幾種。9.2 LCD顯示器原理及應(yīng)用 字符型液晶顯示模塊LCM的組成和原理 字符型液晶顯示模塊LCM的組成 點(diǎn)陣字符型液晶顯示模塊包括液

44、晶顯示器件、控制器、字符發(fā)生器、譯碼驅(qū)動(dòng)器等部分,可以直接與單片機(jī)接口或掛接在其總線上,接口電路設(shè)計(jì)較為簡單??刂破骱妥g碼驅(qū)動(dòng)器對(duì)液晶顯示模塊進(jìn)行顯示驅(qū)動(dòng)控制,一般將二者組合起來,做成專用的集成電路。字符發(fā)生器可提供常用的 192 個(gè)字符庫,包括英文大小寫字母、阿拉伯?dāng)?shù)字、特殊字符或符號(hào),固化在其內(nèi)部的 ROM 中,有時(shí)還可以根據(jù)用戶的需求內(nèi)置 RAM,由用戶自行設(shè)計(jì)字符或符號(hào),實(shí)現(xiàn)字符擴(kuò)充。9.2 LCD顯示器原理及應(yīng)用 字符型液晶顯示模塊LCM的組成和原理 字符型液晶顯示模塊LCM的工作原理 在字符型液晶顯示模塊中,字符發(fā)生器產(chǎn)生的點(diǎn)陣字符是由57、58 或 511 的一組點(diǎn)陣像素排列而成

45、的,相鄰位之間有一定間隔,相鄰行之間也有一定間隔,所以不能顯示圖形。在點(diǎn)陣圖形液晶顯示模塊中,其點(diǎn)陣像素連續(xù)排列,行和列之間排布沒有間隔,因而可以顯示連續(xù)、完整的圖形和漢字。字符型液晶顯示模塊在顯示字符時(shí),被顯示的每個(gè)字符都有一個(gè)對(duì)應(yīng)的 16 進(jìn)制代碼,顯示模塊從處理器得到此代碼,并把它存儲(chǔ)到顯示數(shù)據(jù) RAM 中。9.2 LCD顯示器原理及應(yīng)用 字符型液晶顯示模塊LCM的組成和原理 字符型液晶顯示模塊LCM的工作原理 字符發(fā)生器可根據(jù)每個(gè)字符代碼產(chǎn)生相應(yīng)的點(diǎn)陣圖形。用于表示字符在液晶顯示屏上位置的地址是通過數(shù)據(jù)總線,由微處理器送到顯示模塊的指令寄存器中。每個(gè)字符代碼送入液晶顯示模塊后,顯示模塊

46、將顯示地址自動(dòng)加 1 或減 1 。調(diào)用某些指令可以使液晶顯示模塊實(shí)現(xiàn)清除顯示、光標(biāo)恢復(fù)初始位置、開/關(guān)顯示及光標(biāo)、閃爍字符、移動(dòng)光標(biāo)等功能。 液晶顯示模塊可以采用上電復(fù)位、或采用軟件編程的方法來復(fù)位。9.2 LCD顯示器原理及應(yīng)用 字符型液晶顯示模塊LCM的引腳及說明 字符型液晶顯示模塊的應(yīng)用非常廣泛,在電子表、單片機(jī)應(yīng)用系統(tǒng)、傳真機(jī)、電動(dòng)玩具中經(jīng)常使用這類液晶顯示器。 在實(shí)際使用中,字符型液晶顯示模塊上常采用內(nèi)置式HD44780 驅(qū)動(dòng)控制器的集成電路。以下是對(duì)該驅(qū)動(dòng)控制器特點(diǎn)和電路特性的介紹,然后著重講述內(nèi)置該控制器的字符型液晶顯示模塊的應(yīng)用。9.2 LCD顯示器原理及應(yīng)用 HD44780驅(qū)

47、動(dòng)控制器的電路特性和設(shè)計(jì)特點(diǎn) 電路特性 HD44780 集驅(qū)動(dòng)器和控制器于一體,專用于字符型液晶顯示模塊的顯示控制與驅(qū)動(dòng)。該集成電路對(duì)外引出 80 個(gè)引腳,采用 FP-80 扁平塑料外殼型式的封裝,使用時(shí)已組裝在相應(yīng)的液晶顯示器模塊內(nèi)部。所以用戶可不必考慮其各引腳的名稱、功能、用法和相應(yīng)的電路連接,但必須了解其指令系統(tǒng)、主要設(shè)計(jì)特點(diǎn)、內(nèi)部結(jié)構(gòu)和工作原理。9.2 LCD顯示器原理及應(yīng)用 HD44780驅(qū)動(dòng)控制器的電路特性和設(shè)計(jì)特點(diǎn) 主要設(shè)計(jì)特點(diǎn) (1)HD44780 集成電路具有驅(qū)動(dòng) 4016 點(diǎn)陣液晶像素的能力,還可通過外接驅(qū)動(dòng)電路擴(kuò)展成 360 列驅(qū)動(dòng)。 (2)其顯示緩沖區(qū)與用戶自定義的字符

48、發(fā)生器 RAM 全部內(nèi)置在集成電路中。 (3)接口可選擇 8 位和 4 位數(shù)據(jù)傳輸兩種方式。 (4)可使用簡單而功能較強(qiáng)的指令實(shí)現(xiàn)字符移動(dòng)、閃爍等顯示功能。9.2 LCD顯示器原理及應(yīng)用 HD44780驅(qū)動(dòng)控制器的電路特性和設(shè)計(jì)特點(diǎn) HD44780驅(qū)動(dòng)控制器內(nèi)部結(jié)構(gòu) 9.2 LCD顯示器原理及應(yīng)用 HD44780驅(qū)動(dòng)控制器內(nèi)部結(jié)構(gòu) 在 HD44780 內(nèi)部集成了輸入/輸出緩存器、指令寄存器(IR)、指令解碼器(ID)、地址計(jì)數(shù)器(AC)、數(shù)據(jù)寄存器(DR)、808 位數(shù)據(jù)顯示 RAM(DDRAM)、1928 位字符產(chǎn)生器ROM(CGROM)、光標(biāo)閃爍控制器、并行/串行轉(zhuǎn)換電路等 11個(gè)單元電路

49、。 下面將結(jié)合 HD44780 集成電路的內(nèi)部結(jié)構(gòu)來分析其工作原理。9.2 LCD顯示器原理及應(yīng)用 HD44780驅(qū)動(dòng)控制器內(nèi)部結(jié)構(gòu) 數(shù)據(jù)顯示RAM(Data Display RAM,DDRAM) 該存儲(chǔ)器用于存放所要顯示的數(shù)據(jù),只要將標(biāo)準(zhǔn)的ACSII碼放入 DDRAM 中,內(nèi)部控制電路就會(huì)自動(dòng)將數(shù)據(jù)傳送到顯示器上。如需要顯示字符“C”時(shí),只需將 ASCII 碼的 43H 存入 DDRAM 中就可以了。 DDRAM 有 80 比特(byte bit)空間,總共可顯示 80 個(gè)字(每個(gè)字為 1 個(gè)比特),其存儲(chǔ)地址和實(shí)際顯示位置的排列順序與字符型液晶顯示器的型號(hào)有關(guān)。不同類型的顯示模塊其顯示位置

50、、地址之間的對(duì)應(yīng)關(guān)系如下頁所示。9.2 LCD顯示器原理及應(yīng)用 不同類型液晶顯示模塊顯示位置與地址的關(guān)系 9.2 LCD顯示器原理及應(yīng)用液晶顯示模塊類型DDRAM地址顯示位置0123121314151617181916字1行第1行000102030C0D0E0F20字2行第1行000102030F10111213第2行404142434F5051525320字4行第1行000102030F10111213第2行404142434F50515253第3行141516172324252627第4行545556576364656667 HD44780驅(qū)動(dòng)控制器內(nèi)部結(jié)構(gòu) 字符產(chǎn)生器ROM(Charac

51、ter Generator ROM) 該存儲(chǔ)器上存儲(chǔ)了 192 個(gè) 57 點(diǎn)陣字符,CGROM 中的字符要經(jīng)過內(nèi)部轉(zhuǎn)換才會(huì)傳到顯示器上,只能讀出不能寫入。 字符、字符的排列方式、字符碼與標(biāo)準(zhǔn)的 ASCII 碼相同。如:字符碼 31H 為字符“1”,字符碼 43H 為字符“C” 。9.2 LCD顯示器原理及應(yīng)用 HD44780驅(qū)動(dòng)控制器內(nèi)部結(jié)構(gòu) 字符產(chǎn)生器RAM(Character Generator RAM) 該存儲(chǔ)器是供用戶存儲(chǔ)自行設(shè)計(jì)的特殊字符碼的 RAM 存儲(chǔ)器,共有 512 位(648)。一個(gè) 57 的點(diǎn)陣字符為 88 位,所以 CGRAM 最多可存 8 個(gè)字符。 9.2 LCD顯示器

52、原理及應(yīng)用 HD44780驅(qū)動(dòng)控制器內(nèi)部結(jié)構(gòu) 指令寄存器IR(Instruction Register) 指令寄存器負(fù)責(zé)儲(chǔ)存微處理器要寫給顯示模塊的指令碼。當(dāng)處理器發(fā)一個(gè)命令到指令寄存器時(shí),必須要控制字符型液晶顯示器的 RS、R/W 與 E 這三個(gè)引腳。當(dāng) RS 和 R/W 引腳信號(hào)為低電平、E 引腳信號(hào)由高電平變?yōu)榈碗娖綍r(shí),就會(huì)把 DB0DB7 引腳上的數(shù)據(jù)存入指令寄存器 IR。9.2 LCD顯示器原理及應(yīng)用 HD44780驅(qū)動(dòng)控制器內(nèi)部結(jié)構(gòu) 數(shù)據(jù)寄存器(Data Register,DR) 數(shù)據(jù)寄存器用于存儲(chǔ)微處理器要寫到CGRAM 或 DDRAM中的數(shù)據(jù),或者用于存儲(chǔ)微處理器要從 DDRA

53、M 讀出的數(shù)據(jù)。所以 DR 可視為一個(gè)數(shù)據(jù)緩沖區(qū),它也由顯示模塊的 RS、R/W 與 E 這三個(gè)引腳控制。當(dāng) RS 和 R/W 引腳信號(hào)為“1”、E引腳信號(hào)由“0”變?yōu)椤?”時(shí),顯示模塊會(huì)將 DR 內(nèi)的數(shù)據(jù)從 DB0DB7 輸出,以供 CPU 讀??;當(dāng) RS 引腳信號(hào)為“1”、R/W 引腳信號(hào)為“0”、E 引腳信號(hào)由“0”變?yōu)椤?”時(shí),會(huì)把 DB0DB7 引腳上的數(shù)據(jù)存入 DR。 9.2 LCD顯示器原理及應(yīng)用 HD44780驅(qū)動(dòng)控制器內(nèi)部結(jié)構(gòu) 忙碌信號(hào)(Busy Flag,BF) 忙碌信號(hào)用于通知微處理器,字符型液晶顯示模塊內(nèi)部是否正忙于處理數(shù)據(jù)。當(dāng) BF 為 1 時(shí),表示顯示模塊內(nèi)部正在處

54、理數(shù)據(jù),不能接收來自微處理器的指令或數(shù)據(jù)。 字符型液晶顯示模塊設(shè)置 BF 表示是因?yàn)槲⑻幚砥飨鄬?duì)于顯示模塊來說處理一個(gè)指令的時(shí)間很短,所以微處理器要寫數(shù)據(jù)或指令到液晶顯示模塊時(shí),必須先查看 BF 是否為 0。9.2 LCD顯示器原理及應(yīng)用 HD44780驅(qū)動(dòng)控制器內(nèi)部結(jié)構(gòu) 地址計(jì)數(shù)器(Address Counter,AC) 地址計(jì)數(shù)器的作用是負(fù)責(zé)記錄寫到 CGRAM 或 DDRAM中的數(shù)據(jù)的地址,或從 CGRAM 或 DDRAM 中讀取數(shù)據(jù)的地址。使用地址設(shè)定指令寫到指令寄存器后,地址數(shù)據(jù)會(huì)經(jīng)過指令解碼器存入地址計(jì)數(shù)器中。 當(dāng)微處理器從 DDRAM 或 CGRAM 中讀取數(shù)據(jù)時(shí),地址計(jì)數(shù)器將按

55、照微處理器對(duì)字符型液晶顯示模塊的設(shè)定值自動(dòng)進(jìn)行修改。9.2 LCD顯示器原理及應(yīng)用 內(nèi)置HD44780驅(qū)動(dòng)控制器的顯示模塊引腳 字符型液晶顯示模塊主要用于顯示字符、數(shù)字、符號(hào),它是由若干個(gè) 57 或 511 點(diǎn)陣字符組成,每個(gè)點(diǎn)陣字符可以顯示一個(gè)字符,點(diǎn)陣字符之間有一個(gè)點(diǎn)距和行距的距離。目前常用的有 16 字1行、16 字2 行、20 字2 行、20 字4 行等顯示模塊,雖然這些字符型液晶顯示模塊顯示的字?jǐn)?shù)各不相同,但都具有相同的輸入和輸出接口。9.2 LCD顯示器原理及應(yīng)用 內(nèi)置HD44780驅(qū)動(dòng)控制器的顯示模塊引腳 右圖所示為 16 字2 行字符型液晶顯示模塊,對(duì)外有 14 條引腳,分別為

56、:數(shù)據(jù)線、電源線、對(duì)比度調(diào)整電壓輸入、寄存器選擇輸入端、讀寫控制端和顯示模塊使能端。9.2 LCD顯示器原理及應(yīng)用 內(nèi)置HD44780驅(qū)動(dòng)控制器的顯示模塊 字符型液晶顯示模塊的內(nèi)部可分為如下三個(gè)功能框,它與微處理器之間是利用顯示模塊內(nèi)部的控制器進(jìn)行連接的。9.2 LCD顯示器原理及應(yīng)用 LCM的命令字9.2 LCD顯示器原理及應(yīng)用指令序號(hào)選擇狀態(tài)指令控制字指令說明RSR/WEDB7DB6DB5DB4DB3DB2DB1DB0100100000001清屏20010000001光標(biāo)歸位3001000001I/DS進(jìn)入模式設(shè)置400100001DCB顯示器ON/OFF控制50010001S/CR/L顯

57、示器或光標(biāo)移動(dòng)方向6001001DLNF功能設(shè)定700101CGRAM地址(6位)設(shè)定CGRAM地址80011DDRAM地址(7位)設(shè)定DDRAM地址9011BFAC的內(nèi)容 7位(AC0AC6)讀取忙碌信號(hào)或AC地址10101寫入到液晶顯示模塊的8位數(shù)據(jù)(D7D0)數(shù)據(jù)寫入DDRAM或CGRAM11111讀出的8位顯示數(shù)據(jù)(D7D0)從DDRAM或CGRAM讀出數(shù)據(jù) LCM的命令字 清屏指令 該指令的功能是清除顯示器的內(nèi)容,即將 DDRAM 的內(nèi)容全部填入“空白”的 ASCII碼(20H),光標(biāo)撤回到液晶顯示屏的左上方,將地址計(jì)數(shù)器的值設(shè)為 0。指令執(zhí)行時(shí)間為1.64s。 光標(biāo)歸位指令 該指令

58、的功能是將光標(biāo)撤回到液晶顯示屏的左上方,將地址計(jì)數(shù)器的值設(shè)為 0,保持 DDRAM 的內(nèi)容不變。指令執(zhí)行時(shí)間為 1.64s。9.2 LCD顯示器原理及應(yīng)用 LCM的命令字 模式設(shè)置指令 該指令的功能是設(shè)定每次寫入 1 位數(shù)據(jù)后光標(biāo)的移動(dòng)方向,并且設(shè)定每次寫入的一個(gè)字符是否移動(dòng)。指令執(zhí)行時(shí)間為40s。9.2 LCD顯示器原理及應(yīng)用I/DS設(shè)定情況00畫面保持不動(dòng),并且AC的值自動(dòng)減101畫面可以平移,AC的值自動(dòng)減110畫面保持不動(dòng),并且AC的值自動(dòng)加111畫面可以平移, AC的值自動(dòng)加1 LCM的命令字 顯示器ON/OFF指令 該指令的功能是控制顯示器的開/關(guān)、光標(biāo)的開/關(guān)、光標(biāo)是否閃爍,由指

59、令表中的 D、C、B 三位來設(shè)定。指令執(zhí)行時(shí)間為 40s。 D:控制顯示器的開/關(guān)。D = 1,顯示;D = 0,不顯示。 C:控制光標(biāo)的開/關(guān)。C = 1,顯示光標(biāo);C = 0,不顯示光標(biāo)。 B:控制光標(biāo)是否閃爍。B = 1,光標(biāo)閃爍;B = 0,光標(biāo)不閃爍。9.2 LCD顯示器原理及應(yīng)用 LCM的命令字 設(shè)定顯示器/光標(biāo)移動(dòng)方向指令 該指令的功能是控制光標(biāo)移位或使整個(gè)顯示字幕移位。指令執(zhí)行時(shí)間為 40s。9.2 LCD顯示器原理及應(yīng)用S/CR/L設(shè)定情況00光標(biāo)左移一格01光標(biāo)右移一格10液晶顯示器的字符全部左移一格(畫面)11液晶顯示器的字符全部右移一格(畫面) LCM的命令字 功能設(shè)定

60、指令 通過該指令可設(shè)定數(shù)據(jù)長度、顯示行數(shù)和字型。指令執(zhí)行時(shí)間為 40s。 DL:用于設(shè)定數(shù)據(jù)接口長度。D = 1時(shí),數(shù)據(jù)接口為 8 位;D = 0時(shí),數(shù)據(jù)接口為 4 位。 N:用于設(shè)定顯示行數(shù)。N = 1 時(shí),顯示 2 行;N = 0 時(shí),顯示 1 行。 F:用于設(shè)定字型。F = 1時(shí),選定 510 點(diǎn)陣字型;F = 0時(shí),選定 57 點(diǎn)陣字型。9.2 LCD顯示器原理及應(yīng)用 LCM的命令字 設(shè)定CGRAM地址指令 該指令可設(shè)定下一個(gè)要存入數(shù)據(jù)的 CGRAM 地址。 CGRAM 有 6 位地址,由數(shù)據(jù)線的低 6 位提供。指令執(zhí)行時(shí)間為 40s。 設(shè)定DDRAM地址指令 該指令可設(shè)定下一個(gè)要存入

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論