單片機(jī)測(cè)體溫【課程設(shè)計(jì)報(bào)告】(共24頁(yè))_第1頁(yè)
單片機(jī)測(cè)體溫【課程設(shè)計(jì)報(bào)告】(共24頁(yè))_第2頁(yè)
單片機(jī)測(cè)體溫【課程設(shè)計(jì)報(bào)告】(共24頁(yè))_第3頁(yè)
單片機(jī)測(cè)體溫【課程設(shè)計(jì)報(bào)告】(共24頁(yè))_第4頁(yè)
單片機(jī)測(cè)體溫【課程設(shè)計(jì)報(bào)告】(共24頁(yè))_第5頁(yè)
已閱讀5頁(yè),還剩26頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、沈陽航空航天大學(xué)綜合課程設(shè)計(jì) 沈陽航空航天大學(xué)(dxu)綜 合 課 程 設(shè) 計(jì)基于單片機(jī)的數(shù)字(shz)溫度計(jì)設(shè)計(jì)班 級(jí) 14020201 學(xué) 號(hào) 2011040202018 學(xué) 生 姓 名 胡 博 指 導(dǎo) 教 師 屈 樂 樂 課 程 設(shè) 計(jì) 任 務(wù) 書課程設(shè)計(jì)的內(nèi)容(nirng)及要求:一、設(shè)計(jì)說明1以單片機(jī)芯片為核心,設(shè)計(jì)一個(gè)(y )簡(jiǎn)易的數(shù)字溫度計(jì)。2能夠?qū)崟r(shí)測(cè)量某一點(diǎn)的環(huán)境溫度,并具有超限報(bào)警(bo jng)功能。3可以根據(jù)需要設(shè)定上下限報(bào)警溫度,超限后報(bào)警提示。二、設(shè)計(jì)要求1. 選擇AT89C51單片機(jī)為核心器件;2. 采用LED作為顯示模塊;3. 溫度傳感器采用DS18B20。三、

2、實(shí)驗(yàn)要求1根據(jù)技術(shù)指標(biāo)制定實(shí)驗(yàn)方案;設(shè)計(jì)具體電路。2進(jìn)行程序仿真和軟硬件聯(lián)調(diào)。四、推薦參考資料1 陳小忠.單片機(jī)接口技術(shù)實(shí)用子程序.北京:人民郵電出版社,20052 楊恢先,黃輝先. 單片機(jī)原理及應(yīng)用.長(zhǎng)沙:國(guó)防科技大學(xué)出版社,20033 徐敏.基于AT89C51單片機(jī)的數(shù)字溫度計(jì)設(shè)計(jì).數(shù)字技術(shù)與應(yīng)用,2009.124 胡天明.基于DS18B20的數(shù)字溫度計(jì)設(shè)計(jì)及其應(yīng)用.黑龍江工程學(xué)院學(xué)報(bào),2008.2五、按照要求撰寫課程設(shè)計(jì)報(bào)告成績(jī)?cè)u(píng)定表評(píng)語、建議或需要說明的問題:指導(dǎo)教師簽字: 日期:成 績(jī)一、引言(ynyn)隨著(su zhe)科技的不斷發(fā)展,現(xiàn)代社會(huì)對(duì)各種信息參數(shù)的準(zhǔn)確度和精確度的要求

3、都有了幾何級(jí)的增長(zhǎng),而如何準(zhǔn)確而又迅速的獲得這些參數(shù)就需要受制于現(xiàn)代信息基礎(chǔ)的發(fā)展水平。在三大信息信息采集(即傳感器技術(shù))、信息傳輸(通信技術(shù))和信息處理(計(jì)算機(jī)技術(shù))中,傳感器屬于信息技術(shù)的前沿尖端產(chǎn)品,尤其是溫度傳感器技術(shù),在我國(guó)各領(lǐng)域已經(jīng)引用的非常廣泛,可以說是滲透到社會(huì)的每一個(gè)領(lǐng)域,人民的生活與環(huán)境的溫度息息相關(guān),在工業(yè)生產(chǎn)過程中需要實(shí)時(shí)測(cè)量溫度,在農(nóng)業(yè)生產(chǎn)中也離不開溫度的測(cè)量,因此研究溫度的測(cè)量方法和裝置具有重要的意義。二、設(shè)計(jì)(shj)內(nèi)容及性能指標(biāo)本設(shè)計(jì)主要是介紹了單片機(jī)控制下的溫度檢測(cè)系統(tǒng),詳細(xì)介紹了其硬件和軟件設(shè)計(jì),并對(duì)其各功能模塊做了詳細(xì)介紹,其主要功能和指標(biāo)如下:利用溫度

4、傳感器(DS18B20)測(cè)量某一點(diǎn)環(huán)境溫度測(cè)量范圍為-5011,精度為0.5用LED進(jìn)行實(shí)際溫度值顯示按鍵設(shè)定溫度報(bào)警閥值三、設(shè)計(jì)方案采用數(shù)字溫度芯片DS18B20測(cè)量溫度,輸出信號(hào)全數(shù)字化。便于單片機(jī)處理及控制,省去傳統(tǒng)的測(cè)溫方法的很多外圍電路。且該芯片的物理化學(xué)性很穩(wěn)定,它能用做工業(yè)測(cè)溫元件,此元件線形較好。在0100攝氏度時(shí),最大線形偏差小于1攝氏度。DS18B20的最大特點(diǎn)之一采用了單總線的數(shù)據(jù)傳輸,由數(shù)字溫度計(jì)DS18B20和微控制器AT89S51構(gòu)成的溫度測(cè)量裝置,它直接輸出溫度的數(shù)字信號(hào),可直接與計(jì)算機(jī)連接。方案的總體設(shè)計(jì)框圖,如圖1所示:圖1 總體設(shè)計(jì)框圖(kungt)四、系統(tǒng)

5、(xtng)器件選擇1、單片機(jī)的選擇(xunz)AT89S51是美國(guó)ATMEL公司生產(chǎn)的低功耗,高性能CMOS8位單片機(jī),片內(nèi)含4kbytes的可編程的Flash只讀程序存儲(chǔ)器,兼容標(biāo)準(zhǔn)8051指令系統(tǒng)及引腳。它集Flash程序存儲(chǔ)器既可在線編程(ISP),也可用傳統(tǒng)方法進(jìn)行編程,所以低價(jià)位AT89S51單片機(jī)可為提供許多高性價(jià)比的應(yīng)用場(chǎng)合,可靈活應(yīng)用于各種控制領(lǐng)域,對(duì)于簡(jiǎn)單的測(cè)溫系統(tǒng)已經(jīng)足夠。單片機(jī)AT89S51具有低電壓供電和體積小等特點(diǎn),四個(gè)端口只需要兩個(gè)口就能滿足電路系統(tǒng)的設(shè)計(jì)需要,很適合便攜手持式產(chǎn)品的設(shè)計(jì)使用系統(tǒng)可用二節(jié)電池供電(1)89S51引腳功能介紹AT89S51單片機(jī)為40

6、引腳雙列直插式封裝。其引腳排列和邏輯符號(hào)如圖2所示。圖2 單片機(jī)引腳圖各引腳功能簡(jiǎn)單介紹如下:VCC:供電電壓GND:接地P0口:P0口為一個(gè)8位漏級(jí)開路雙向I/O口,每個(gè)管腳可吸收8TTL門電流。當(dāng)P1口的管腳寫“1”時(shí),被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲(chǔ)器,它可以被定義為數(shù)據(jù)/地址的第八位。在FLASH編程時(shí),P0口作為原碼輸入口,當(dāng)FLASH進(jìn)行校驗(yàn)時(shí),P0輸出原碼,此時(shí)P0外部電位必須被拉高。P1口:P1口是一個(gè)內(nèi)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4TTL門電流。P1口管腳寫入“1”后,電位被內(nèi)部上拉為高,可用作輸入,P1口被外部下拉為低電平時(shí),將輸出

7、電流,這是由于內(nèi)部上拉的緣故。在FLASH編程和校驗(yàn)時(shí),P1口作為第八位地址接收。P2口:P2口為一個(gè)(y )內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出(shch)4個(gè)TTL門電流,當(dāng)P2口被寫“1”時(shí),其管腳電位被內(nèi)部上拉電阻拉高,且作為輸入。作為輸入時(shí),P2口的管腳電位被外部(wib)拉低,將輸出電流,這是由于內(nèi)部上拉的緣故。P2口當(dāng)用于外部程序存儲(chǔ)器或16位地址外部數(shù)據(jù)存儲(chǔ)器進(jìn)行存取時(shí),P2口輸出地址的高八位。在給出地址“1”時(shí),它利用內(nèi)部上拉的優(yōu)勢(shì),當(dāng)對(duì)外部八位地址數(shù)據(jù)存儲(chǔ)器進(jìn)行讀寫時(shí),P2口輸出其特殊功能寄存器的內(nèi)容。P2口在FLASH編程和校驗(yàn)時(shí)接收高八位地址信號(hào)和控

8、制信號(hào)。P3口:P3口管腳是8個(gè)帶內(nèi)部上拉電阻的雙向I/O口,可接收輸出4個(gè)TTL門電流。當(dāng)P3口寫入“1”后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入時(shí),由于外部下拉為低電平,P3口將輸出電流(ILL),也是由于上拉的緣故。P3口也可作為AT89C51的一些特殊功能口:P3.0RXD(串行輸入口)P3.1TXD(串行輸出口)P3.2INT0(外部中斷0)P3.3INT1(外部中斷1)P3.4T0(記時(shí)器0外部輸入)P3.5T1(記時(shí)器1外部輸入)P3.6WR(外部數(shù)據(jù)存儲(chǔ)器寫選通)P3.7RD(外部數(shù)據(jù)存儲(chǔ)器讀選通)同時(shí)P3口同時(shí)為閃爍編程和編程校驗(yàn)接收一些控制信號(hào)。RST:復(fù)位輸入。當(dāng)

9、振蕩器復(fù)位器件時(shí),要保持RST腳兩個(gè)機(jī)器周期的高電平時(shí)間。ALE/PROG:當(dāng)訪問外部存儲(chǔ)器時(shí),地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。在FLASH編程期間,此引腳用于輸入編程脈沖。在平時(shí),ALE端以不變的頻率周期輸出正脈沖信號(hào),此頻率為振蕩器頻率的1/6。因此它可用作對(duì)外部輸出的脈沖或用于定時(shí)目的。然而要注意的是:每當(dāng)用作外部數(shù)據(jù)存儲(chǔ)器時(shí),將跳過一個(gè)ALE脈沖。如想禁止ALE的輸出可在SFR8EH地址上置0。此時(shí),ALE只有在執(zhí)行MOVX,MOVC指令時(shí)ALE才起作用。另外,該引腳被略微拉高。如果微處理器在外部執(zhí)行狀態(tài)ALE禁止,置位無效。PSEN:外部程序存儲(chǔ)器的選通信號(hào)。在由外部程

10、序存儲(chǔ)器取址期間,每個(gè)機(jī)器周期PSEN兩次有效。但在訪問外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的PSEN信號(hào)將不出現(xiàn)。EA/VPP:當(dāng)EA保持低電平時(shí),訪問外部ROM;注意加密方式1時(shí),EA將內(nèi)部鎖定為RESET;當(dāng)EA端保持高電平時(shí),訪問內(nèi)部ROM。在FLASH編程期間,此引腳也用于施加12V編程電源(VPP)。XTAL1:反向振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入。XTAL2:來自反向振蕩器的輸出。2、溫度傳感器的選擇由于傳統(tǒng)(chuntng)的熱敏電阻等測(cè)溫元件測(cè)出的一般都是電壓,再轉(zhuǎn)換成對(duì)應(yīng)的溫度,需要比較多的外部元件支持,且硬件電路復(fù)雜,制作成本相對(duì)較高。這里采用DALLAS公司的數(shù)字溫度傳

11、感器DS18B20作為測(cè)溫元件。(1)DS18B20簡(jiǎn)單(jindn)介紹:DALLAS最新單線數(shù)字溫度傳感器DS18B20是一種(y zhn)新型的“一線器件”,其體積更小、更適用于多種場(chǎng)合、且適用電壓更寬、更經(jīng)濟(jì)。DALLAS半導(dǎo)體公司的數(shù)字化溫度傳感器DS18B20是世界上第一片支持“一線總線”接口的溫度傳感器。溫度測(cè)量范圍為-55+125攝氏度,可編程為9位12位轉(zhuǎn)換精度,測(cè)溫分辨率可達(dá)0.0625攝氏度,分辨率設(shè)定參數(shù)以及用戶設(shè)定的報(bào)警溫度存儲(chǔ)在EEPROM中,掉電后依然保存。被測(cè)溫度用符號(hào)擴(kuò)展的16位數(shù)字量方式串行輸出;其工作電源既可以在遠(yuǎn)端引入,也可以采用寄生電源方式產(chǎn)生;多個(gè)D

12、S18B20可以并聯(lián)到3根或2根線上,CPU只需一根端口線就能與諸多DS18B20通信,占用微處理器的端口較少,可節(jié)省大量的引線和邏輯電路。因此用它來組成一個(gè)測(cè)溫系統(tǒng),具有線路簡(jiǎn)單,在一根通信線,可以掛很多這樣的數(shù)字溫度計(jì),十分方便。DS18B20的性能特點(diǎn)如下:獨(dú)特的單線接口方式,DS18B20在與微處理器連接時(shí)僅需要一條口線即可實(shí)現(xiàn)微處理器與DS18B20的雙向通訊DS18B20支持多點(diǎn)組網(wǎng)功能,多個(gè)DS18B20可以并聯(lián)在唯一的三線上,實(shí)現(xiàn)組網(wǎng)多點(diǎn)測(cè)溫DS18B20在使用中不需要任何外圍元件,全部傳感元件及轉(zhuǎn)換電路集成在形如一只三極管的集成電路內(nèi)適應(yīng)電壓范圍更寬,電壓范圍:3.05.5V

13、,在寄生電源方式下可由數(shù)據(jù)線供電溫范圍55125,在-10+85時(shí)精度為0.5零待機(jī)功耗可編程的分辨率為912位,對(duì)應(yīng)的可分辨溫度分別為0.5、0.25、0.125和0.0625,可實(shí)現(xiàn)高精度測(cè)溫在9位分辨率時(shí)最多在93.75ms內(nèi)把溫度轉(zhuǎn)換為數(shù)字,12位分辨率時(shí)最多在750ms內(nèi)把溫度值轉(zhuǎn)換為數(shù)字,速度更快用戶可定義報(bào)警設(shè)置報(bào)警搜索命令識(shí)別并標(biāo)志超過程序限定溫度(溫度報(bào)警條件)的器件測(cè)量結(jié)果直接輸出數(shù)字溫度信號(hào),以一線總線串行傳送給CPU,同時(shí)可傳送CRC校驗(yàn)碼,具有極強(qiáng)的抗干擾糾錯(cuò)能力負(fù)電壓特性,電源極性接反時(shí),溫度計(jì)不會(huì)因發(fā)熱而燒毀,但不能正常工作以上特點(diǎn)使DS18B20非常適用與多點(diǎn)、

14、遠(yuǎn)距離溫度檢測(cè)系統(tǒng)。DS18B20內(nèi)部結(jié)構(gòu)主要由四部分組成:64位光刻ROM、溫度傳感器、非揮發(fā)的溫度報(bào)警觸發(fā)器TH和TL、配置寄存器。DS18B20的管腳排列、各種封裝形式如圖4.2所示,DQ為數(shù)據(jù)輸入/輸出引腳。開漏單總線接口引腳。當(dāng)被用著在寄生電源下,也可以向器件提供電源;GND為地信號(hào);VDD為可選擇的VDD引腳。當(dāng)工作于寄生電源時(shí),此引腳必須接地。以上特點(diǎn)(tdin)使DS18B20非常適用與多點(diǎn)、遠(yuǎn)距離溫度檢測(cè)系統(tǒng)。DS18B20內(nèi)部結(jié)構(gòu)主要由四部分組成:64位光刻ROM、溫度傳感器、非揮發(fā)的溫度報(bào)警觸發(fā)器TH和TL、配置寄存器。DS18B20的管腳排列、各種( zhn)封裝形式,

15、如圖3所示,DQ為數(shù)據(jù)(shj)輸入/輸出引腳。開漏單總線接口引腳。當(dāng)被用著在寄生電源下,也可以向器件提供電源;GND為地信號(hào);VDD為可選擇的VDD引腳。當(dāng)工作于寄生電源時(shí),此引腳必須接地。其電路圖圖4所示.。圖3 外部封裝形式 圖4 傳感器電路圖(2)DS18B20使用中的注意事項(xiàng)DS18B20雖然具有測(cè)溫系統(tǒng)簡(jiǎn)單、測(cè)溫精度高、連接方便、占用口線少等優(yōu)點(diǎn),但在實(shí)際應(yīng)用中也應(yīng)注意以下幾方面的問題:較小的硬件開銷需要相對(duì)復(fù)雜的軟件進(jìn)行補(bǔ)償,由于DS1820與微處理器間采用串行數(shù)據(jù)傳送,因此,在對(duì)DS1820進(jìn)行讀寫編程時(shí),必須嚴(yán)格的保證讀寫時(shí)序,否則將無法讀取測(cè)溫結(jié)果。在使用PL/M、C等高級(jí)

16、語言進(jìn)行系統(tǒng)程序設(shè)計(jì)時(shí),對(duì)DS1820操作部分最好采用匯編語言實(shí)現(xiàn)。在DS18B20測(cè)溫程序設(shè)計(jì)中,向DS18B20發(fā)出溫度轉(zhuǎn)換命令后,程序總要等待DS18B20的返回信號(hào),一旦某個(gè)DS18B20接觸不好或斷線,當(dāng)程序讀該DS18B20時(shí),將沒有返回信號(hào),程序進(jìn)入死循環(huán),這一點(diǎn)在進(jìn)行DS18B20硬件連接和軟件設(shè)計(jì)時(shí)也要給予一定的重視。(3)DS18B20測(cè)溫原理DS18B20的測(cè)溫原理(yunl),如圖5所示,圖中低溫度系數(shù)晶振的振蕩頻率受溫度的影響很小用于產(chǎn)生固定頻率的脈沖信號(hào)送給減法計(jì)數(shù)器1,高溫度系數(shù)晶振隨溫度變化其震蕩(zhndng)頻率明顯改變,所產(chǎn)生的信號(hào)作為減法計(jì)數(shù)器2的脈沖輸

17、入,圖中還隱含著計(jì)數(shù)門,當(dāng)計(jì)數(shù)門打開時(shí),DS18B20就對(duì)低溫度系數(shù)振蕩器產(chǎn)生的時(shí)鐘脈沖后進(jìn)行計(jì)數(shù),進(jìn)而完成溫度測(cè)量.計(jì)數(shù)門的開啟時(shí)間由高溫度系數(shù)振蕩器來決定,每次測(cè)量前,首先將-55所對(duì)應(yīng)的基數(shù)分別置入減法計(jì)數(shù)器1和溫度(wnd)寄存器中,減法計(jì)數(shù)器1和溫度寄存器被預(yù)置在-55所對(duì)應(yīng)的一個(gè)基數(shù)值。減法計(jì)數(shù)器1對(duì)低溫度系數(shù)晶振產(chǎn)生的脈沖信號(hào)進(jìn)行減法計(jì)數(shù),當(dāng)減法計(jì)數(shù)器1的預(yù)置值減到0時(shí)溫度寄存器的值將加1,減法計(jì)數(shù)器1的預(yù)置將重新被裝入,減法計(jì)數(shù)器1重新開始對(duì)低溫度系數(shù)晶振產(chǎn)生的脈沖信號(hào)進(jìn)行計(jì)數(shù),如此循環(huán)直到減法計(jì)數(shù)器2計(jì)數(shù)到0時(shí),停止溫度寄存器值的累加,此時(shí)溫度寄存器中的數(shù)值即為所測(cè)溫圖2中的

18、斜率累加器用于補(bǔ)償和修正測(cè)溫過程中的非線性其輸出用,于修正減法計(jì)數(shù)器的預(yù)置值,只要計(jì)數(shù)門仍未關(guān)閉就重復(fù)上述過程,直至溫度寄存器值達(dá)到被測(cè)溫度值,這就是DS18B20的測(cè)溫原理。另外,由于DS18B20單線通信功能是分時(shí)完成的,他有嚴(yán)格的時(shí)隙概念,因此讀寫時(shí)序很重要。系統(tǒng)對(duì)DS18B20的各種操作必須按協(xié)議進(jìn)行。操作協(xié)議為:初始化DS18B20(發(fā)復(fù)位脈沖)發(fā)ROM功能命令發(fā)存儲(chǔ)器操作命令理數(shù)據(jù)據(jù)。圖5 DS18B20測(cè)溫度原理框圖五、系統(tǒng)軟件算法分析系統(tǒng)程序主要包括主程序,讀出溫度子程序,溫度轉(zhuǎn)換命令子程序,計(jì)算溫度子程序,顯示數(shù)據(jù)刷新子程序等。1、主程序(1)主程序功能(gngnng):主程

19、序的主要功能(gngnng)是負(fù)責(zé)溫度的實(shí)時(shí)顯示、讀出并處理DS18B20的測(cè)量的當(dāng)前溫度值,溫度測(cè)量每1s進(jìn)行一次。這樣可以在一秒之內(nèi)測(cè)量一次被測(cè)溫度,(2)主程序流程圖,如圖6所示:圖6 主程序流程圖2、讀出溫度(wnd)子程序(1)功能:主要功能是讀出RAM中的9字節(jié),在讀出是需要CRC校驗(yàn),校驗(yàn)有錯(cuò)時(shí)不進(jìn)行溫度數(shù)據(jù)的改寫。程序流程如圖7所示圖7 溫度(wnd)子程序流程圖3、溫度轉(zhuǎn)換(zhunhun)命令子程序(1)程序(chngx)解釋:溫度轉(zhuǎn)換命令子程序主要是發(fā)溫度轉(zhuǎn)換開始命令,當(dāng)采用12位分辨率時(shí)轉(zhuǎn)換時(shí)間約為750ms,在本程序設(shè)計(jì)中采用1s顯示程序延時(shí)法等待轉(zhuǎn)換的完成(2)程序

20、流程圖,如圖8所示:圖8 溫度(wnd)轉(zhuǎn)換命令子程序流程圖4、計(jì)算(j sun)溫度子程序(1)程序(chngx)解釋計(jì)算溫度子程序?qū)AM中讀取值進(jìn)行BCD碼的轉(zhuǎn)換運(yùn)算,并進(jìn)行溫度值正負(fù)的判定。5、顯示數(shù)據(jù)刷新子程序(1)程序解釋:顯示數(shù)據(jù)刷新子程序主要是對(duì)分離后的溫度顯示數(shù)據(jù)進(jìn)行刷新操作,當(dāng)標(biāo)志位位為1時(shí)將符號(hào)顯示位移入第一位。6、報(bào)警程序:(1)程序解釋:由于溫度計(jì)設(shè)計(jì)時(shí),有上限和下限,所以加報(bào)警程序,當(dāng)溫度超過范圍時(shí),指示燈亮,以作警示。六、電路圖設(shè)計(jì)1晶振電路(1)仿真圖如圖9所示:圖9 晶振電路(2)晶振電路作用:晶振的作用是為系統(tǒng)提供基本的時(shí)鐘信號(hào)。通常一個(gè)系統(tǒng)共用一個(gè)晶振,便

21、于各部分保持同步。2、復(fù)位電路(1)復(fù)位電路仿真圖,如圖10所示:圖10 復(fù)位電路(2)復(fù)位(f wi)電路的作用:是單片機(jī)的程序計(jì)數(shù)器清零(注:在單片機(jī)出現(xiàn)程序死機(jī)時(shí)比較有用)3、報(bào)警(bo jng)電路(1)報(bào)警(bo jng)電路仿真,如圖11所示:圖11 報(bào)警電路(2)報(bào)警電路運(yùn)行:LED-GREEN燈,接單片機(jī)AT89C51的P1.1/T2EX口;當(dāng)為0時(shí),相當(dāng)于接地,則LED-GREEN燈發(fā)光;反之,當(dāng)為1時(shí),LED-FREEN燈滅。4、顯示電路(1)仿真電路圖,如圖12所示:圖12 顯示電路(2)排阻:排阻作用就是相當(dāng)于幾個(gè)并在一起的電阻。做上拉用,第一腳接5V,29腳相對(duì)于1腳

22、的電阻是10K歐姆,將數(shù)據(jù)線D0.0D0.7上拉。七、proteus用法1、打開軟件,如圖13所示:圖13 proteus軟件(run jin)圖2、查找(ch zho)元器件:點(diǎn)擊(din j)按鈕P,然后輸入查找的元器件名稱,如圖14所示:圖14 查找元器件圖3、連線,將所有需要的元器件進(jìn)行連接,如圖15所示:圖154、點(diǎn)擊運(yùn)行按鈕,看是否有錯(cuò)誤,若有錯(cuò)誤,需將錯(cuò)誤改正后,方可運(yùn)行,如圖16所示:圖16 5、向單片機(jī)加入程序,雙擊單片機(jī),選擇(xunz)需要的文件,如圖17所示:圖176、運(yùn)行仿真電路(dinl),出現(xiàn)結(jié)果,如圖18所示:圖18 仿真(fn zhn)結(jié)果八、keil的用法1

23、,建立(jinl)工程,如圖19所示:圖19 keil建立(jinl)工程2、新建文本文檔,如圖20所示:圖20 建立(jinl)文本文檔3、在文本文檔中編寫程序4、編譯檢查程序,生成hex文件,如圖21所示:圖21九、推薦(tujin)參考資料1 陳小忠.單片機(jī)接口技術(shù)實(shí)用(shyng)子程序.北京(bi jn):人民郵電出版社,20052 楊恢先,黃輝先. 單片機(jī)原理及應(yīng)用.長(zhǎng)沙:國(guó)防科技大學(xué)出版社,20033 張志勇.一種基于單片機(jī)控制的數(shù)字溫度計(jì)的設(shè)計(jì).天津:天津農(nóng)學(xué)院學(xué)報(bào),2007.34 徐敏.基于AT89C51單片機(jī)的數(shù)字溫度計(jì)設(shè)計(jì).數(shù)字技術(shù)與應(yīng)用,2009.125 胡天明.基于D

24、S18B20的數(shù)字溫度計(jì)設(shè)計(jì)及其應(yīng)用.黑龍江工程學(xué)院學(xué)報(bào),2008.2 附錄(fl)I 仿真電路圖附錄(fl)II 源程序#includesbit DQ=P30;unsigned int temp;unsigned char dis4=0,0,0,0;unsignedchar led=0 xc0,0 xf9,0 xa4,0 xb0,0 x99,0 x92,0 x82,0 xf8,0 x80,0 x90,0 x88,0 xff,0 xbf;/分別為0-9,不顯示(xinsh),負(fù)號(hào)unsignedchar led110=0 x40,0 x79,0 x24,0 x30,0 x19,0 x12,0

25、x02,0 x78,0 x00,0 x10;/帶小數(shù)點(diǎn)顯示(xinsh)sbit d2=P11;void delay(unsigned int i) /如果i是unsigend char類型,則會(huì)出現(xiàn)錯(cuò)誤(cuw)結(jié)果 while(i-);void Init(void)/初始化 /unsigned char flag=0; DQ = 0; /單片機(jī)將DQ拉低 delay(100); /精確(jngqu)延時(shí) 大于 480us小于960us DQ = 1; /拉高總線(zn xin) delay(30); /flag=DQ; /稍做延時(shí)后 如果flag=0則初始化成功(chnggng) flag

26、=1則初始化失敗 /delay(20);unsigned char Read(void)/讀字節(jié) unsigned char i=0; unsigned char dat = 0; for (i=8;i0;i-) DQ = 0; / 給脈沖信號(hào) dat=1; DQ = 1; / 給脈沖信號(hào) if(DQ) dat|=0 x80; delay(5); return(dat);void Write(unsigned char dat)/寫字節(jié)(z ji) unsigned char i=0; for (i=8; i0; i-) DQ = 0; DQ = dat&0 x01; delay(5); DQ = 1; dat=1; void Display(unsigned int temp , d1) /顯示(xinsh)程序if(temp=4; /右移(yu y)4位,相當(dāng)于乘0.0

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論