基于GPS同步操縱系統(tǒng)_第1頁
基于GPS同步操縱系統(tǒng)_第2頁
基于GPS同步操縱系統(tǒng)_第3頁
基于GPS同步操縱系統(tǒng)_第4頁
基于GPS同步操縱系統(tǒng)_第5頁
已閱讀5頁,還剩19頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、第一章引言1.1論文的研究背景1.3論文的研究目的1.4論文的要緊研究內容第二章GPS技術大體原理GPS系統(tǒng)的產(chǎn)生與進展1957年10月4日,世界上第一顆人造地球衛(wèi)星(SPUTNIK-1)的發(fā)射成功,標志著空間科學技術的進展進入一個嶄新的時代。第一代衛(wèi)星導航系統(tǒng)是美國海軍實驗室與霍布金斯大學應用物理實驗室研制的海軍導航衛(wèi)星系統(tǒng)(NavyNavigationSatelliteSystem,NNSS)。該系統(tǒng)的衛(wèi)星軌道都通過地極,故也稱為“子午(Transit)衛(wèi)星導航系統(tǒng)”,1964年建成后,隨即由美國軍方啟用,并于1967年解密星歷,提供民用效勞。實踐證明,子午衛(wèi)星導航系統(tǒng)具有精讀均勻、不受天

2、氣和時刻限制等優(yōu)勢,只要能觀測到子午衛(wèi)星,就能夠夠對地球表面的任何地址進行定位,從而取得測量點的三維空間坐標。該系統(tǒng)自投入民用以來,除為遠洋船舶提供導航定位外,還接踵用于海上石油勘探、鉆井定位、海底電纜鋪設、海洋調查與測繪、海島聯(lián)測和大地操縱網(wǎng)的成立等方面,充分顯示了衛(wèi)星導航定位的龐大潛力。子午衛(wèi)星系統(tǒng)被稱為第一代衛(wèi)星導航系統(tǒng),可是該系統(tǒng)仍有許多明顯的缺點。要緊表現(xiàn)為:衛(wèi)星顆數(shù)少,不能實現(xiàn)持續(xù)實時導航定位;衛(wèi)星軌道高度低,難以實現(xiàn)周密定軌;信號頻率低,難以補償電離層效應的阻礙。以上這些缺點使得子午衛(wèi)星導航系統(tǒng)難以充分知足軍事用戶和某些民用用戶的定位要求,尤其是高動態(tài)、高精度用戶的定位要求,因此

3、使其應用受到了專門大的限制。為了沖破子午衛(wèi)星導航系統(tǒng)的局限性,實現(xiàn)全天候、全世界性和高精度的持續(xù)導航與定位,1973年美國國防部批準其陸、海、空全軍聯(lián)合研制新的軍用衛(wèi)星導航系統(tǒng)NAVSTARGPS(navigationsystemtimingandrangingglobalpositioningsystem),即衛(wèi)星測時與測距全世界定位系統(tǒng),簡稱GPSS星全世界定位系統(tǒng)。GPS系統(tǒng)的組成GPS包括三大部份:GPS間衛(wèi)星星座、地面監(jiān)控系統(tǒng)和用戶設備(GPS言號接收機)。2.2.1 空間部份GPS衛(wèi)星星座GPS空間部份由24顆衛(wèi)星組成,其中21顆工作衛(wèi)星、3顆在軌備用衛(wèi)星。24顆衛(wèi)星均勻散布在距離

4、地面大約20183km的6個軌道平面內,每條軌道與赤道面的交角為55度,各個軌道平面之間相距60度(即軌道的升交點赤經(jīng)相差60度),每條軌道上有4顆衛(wèi)星。每一個軌道平面內各顆衛(wèi)星之間的升交角距相差90度。位于地平線以上的衛(wèi)星顆數(shù)隨著時刻和地址的不同而不同,最少可見到4顆,最多能夠見到11顆。在用GPS信號導航定位時,為了結算觀測點的三維坐標,必需觀測4顆GP”星,稱為定位星座。這4顆衛(wèi)星在觀測進程中的幾何位置散布對定位精度有必然的阻礙。關于某地某時,乃至不能測得精準的點位坐標,這種時刻段叫做“間歇段”。但這種時刻間歇段是很短暫的,并非阻礙全世界絕大多數(shù)地址的全天候、高精度、持續(xù)實時的導航定位測

5、量。圖2.1GPS工作衛(wèi)星星座圖在GPS系統(tǒng)中,GPS衛(wèi)星的大體功能如下:1)執(zhí)行地面監(jiān)控站的指令,接收和存儲由地面監(jiān)控站發(fā)來的導航信息;在衛(wèi)星飛越注入站上空時,接收由地面注入站用S波段(10cm波段)發(fā)送到衛(wèi)星的導航電文和其他有關信息,并通過GPS言號電路,適時地發(fā)送給廣大用戶。2)向GPS用戶播送導航電文,提供導航和定位信息;用L波段的兩個無線載波(波長為19cm和24cm)向廣大用戶持續(xù)不斷地發(fā)送導航定位信號。每一個載波用導航信息D(t)和偽隨機碼(PRN)測距信號進行雙向調制。用于捕捉信號及粗略定位的偽隨機碼叫C/A碼,周密測距碼叫P碼。由導航電文能夠明白該衛(wèi)星當前的位置和衛(wèi)星的工作情

6、形。3)通太高精度衛(wèi)星鐘(葩鐘和鋤鐘)向用戶提供周密的時刻校準。GPS衛(wèi)星的核心部件是高精度的時鐘、導航電文存儲器、雙頻發(fā)射機和接收機和微處置機。每顆GPS工作衛(wèi)星一樣安設兩臺鋤原子鐘和兩臺葩原子鐘,并打算以后采納更穩(wěn)固的氫原子鐘(其頻率穩(wěn)固度優(yōu)于1014)。GPS衛(wèi)星盡管發(fā)送幾種不同頻率的信號,可是他們均源于一個基準信號(其頻率為1023MHz),因此只需啟用一臺原子鐘,其余作為備用。衛(wèi)星鐘由地面站校驗,其鐘差、鐘速連同其他信息由地面站注入衛(wèi)星后,再轉發(fā)給用戶設備。地面監(jiān)控部份GPS工作衛(wèi)星的地面監(jiān)控系統(tǒng)由三部份組成,包括一個主控站、三個注入站和五個監(jiān)測站。主控站設在美國本土科羅拉多斯普林斯

7、的聯(lián)合空間執(zhí)行中心,主若是進行和諧、治理所有地面監(jiān)控網(wǎng)絡的工作。它的任務如下:搜集數(shù)據(jù)、推算編制導航電文;給定全世界定位系統(tǒng)時刻基準;和諧和治理所有地面監(jiān)測站和注入站系統(tǒng);調整衛(wèi)星運動狀態(tài),啟動備用衛(wèi)星。3個注入站別離設在大西洋的阿森松島、印度洋的迪戈加西亞島和太平洋的卡瓦加蘭。任務是將主控站發(fā)來的導航電文注入到相應衛(wèi)星的存儲器,天天注入3次,每次注入14天的星歷。另外,注入站能自動向主控站發(fā)射信號,每分鐘報告一次自己的工作狀態(tài)。5個監(jiān)測站除位于主控站和3個注入的地方的4個站之外,還在夏威夷設立了一個監(jiān)測站。監(jiān)測站的要緊任務是為主控站提供衛(wèi)星的觀測數(shù)據(jù)。每一個監(jiān)測站均用GPS言號接收機對每顆可

8、見衛(wèi)星每6min進行一次偽距測量和積分多普勒觀測,搜集氣象要素等數(shù)據(jù)。在主控站的遙控下自動搜集定軌數(shù)據(jù)并進行各項更正,每15分滑膩一次觀測數(shù)據(jù),依此推算出每2min距離的觀測值,然后將數(shù)據(jù)發(fā)送給主控站。用戶設備部份用戶設備部份要緊由以無線電傳感和運算機技術支撐的GPS1星接U機和GP激據(jù)處置軟件組成。GPS接收機要緊包括天線、信號處置部份、記錄裝置、輸入、輸出單元和電池5部份。天線衛(wèi)星信號是通過天線接收到的,天線及前置放大器一樣密封為一體,天線為右圓極化(RHCP)的而且提供近乎半球的覆蓋。選擇天線需要考慮的參數(shù)諸如天線增益場形、可用的安裝面積、空氣動力性能、多徑性能和無線電相位中心的穩(wěn)固度等

9、。信號接收處置單元它是GP或收機的核心單元,由硬件和軟件組成。它接收來自天線的信號,通過中頻放大、濾波和信號處置,實現(xiàn)對信號的跟蹤、鎖定、測量,由跟蹤環(huán)路重建載波,解碼得廣播電文并取得偽距定位信息。依照需要,GP%收機可設計成112個通道供選擇,每1個通道在某一時刻跟蹤一顆衛(wèi)星,當此衛(wèi)星被鎖定后,便占據(jù)這一通道?,F(xiàn)代技術的進展使得在GPS接收機中普遍采納并行多通道技術或相關型通道技術。3)存儲單元存儲單元要緊有GPS收機的內存、硬盤或其它存儲載體等。4)輸入/輸出(I/O)單元I/O裝置是在GP毀備和用戶之間的接口。在與傳感器組合利歷時要求有數(shù)字數(shù)據(jù)接口以輸入和輸出數(shù)據(jù)。通用接口是RS-232

10、和RS-422。5)電源電源能夠是束裝的、外接的或二者的結合。在束裝或自備實現(xiàn)方式中,典型情形下用堿性電池或鋰電池。而在集成方式應用中,一樣用已有的電源。GPS授時和定位原理GPS基于偽隨機碼電磁波測距實現(xiàn)異地目標定位,因此利用了穩(wěn)固度極高的時鐘系統(tǒng),其星載鋤原子鐘和葩原子鐘具有10121013的頻率穩(wěn)固度。GPS實測距離為電磁波從衛(wèi)星到接收機的傳播時刻與光速c的乘積,它由衛(wèi)星時鐘與地面接收機時鐘聯(lián)合測得的,也就包括了兩種時鐘的鐘差。鐘差的校正可在GPS廣播的電文中求出,而GPS接收機的鐘差那么只能在定位解算進程中作為未知量求解取得,加上接收機在GPS定位坐標系中的三位坐標,結算進程共涉及到4

11、個未知數(shù),因此,單接收機的絕對定位至少要同時進行4顆衛(wèi)星的4個偽距測量才能完成定位解碼。這種結算方式帶來的附加益處在于,使接收機取得了具有與GPS時鐘精度相當?shù)漠攬鰰r鐘比對。由于GPS的偽距絕對定位解算方式,才使得GPS周密時鐘取得了普遍應用。GPS偽距絕對定位解算方式,事實上是通過接收機的本地碼與衛(wèi)星信號的偽隨機碼進行相關處置,測定信號從衛(wèi)星至接收機的傳播時刻T表示GPS標準時;ts表示衛(wèi)星s時鐘的表面時;tk表示接收機k時鐘的表面時。設衛(wèi)星時鐘與接收機時鐘相關于標準時的鐘不同離為t和t,那么衛(wèi)星s的標準時Ts和接收機k的標準時Tk概念為skTststsTktktk設衛(wèi)星s與衛(wèi)星時鐘ts時刻

12、發(fā)射信號(相應于GPS時Ts),與接收機鐘tk時刻(相應于Tk時刻)抵達接收機,通過偽隨機碼測定大體觀測量,那么tktsTktkTstsTkTstktstkts(2)將(2)式兩頭同乘光速C,得ccctkctsRbkcts(3)222,12式(3)即為偽距測量定位大體模型,RXstsXktk工tsYktkZstsZktk為實測的偽距,R為ts時刻的衛(wèi)星位置至tk時刻接收機之間的幾何距離;接收機鐘差等效距離bkc&;1為衛(wèi)星鐘差校正。因為在GPS觀測中,僅能取得接收機時鐘的觀測時刻tk,而不能取得ts,因此需第按tstktk.c(5)計算ts;然后依照廣播星歷按ts計算衛(wèi)星的坐標Xs,Ys,Zs

13、?,F(xiàn)設一觀測站在k點上,在觀測時刻tk,同時測得4顆以上的GPS衛(wèi)星sj的偽距j1,2,,那么依(3)式可列出4個方程,同時求得4個未知參數(shù),也即在定位的同時,還當場定了時。所求得的鐘差,是接收機鐘相對GPS時刻的鐘差,其精度優(yōu)于30nso2)接收機授時產(chǎn)生的誤差在整個系統(tǒng)中,阻礙時刻精度的要緊誤差來源于空間衛(wèi)星誤差、時刻解碼誤差和時刻分發(fā)誤差。這些誤差,按性質可分為系統(tǒng)誤差和隨機誤差。關于系統(tǒng)誤差,通過度析、研究其規(guī)律,采取有效方法來校正;而關于隨機誤差,那么只能采取測量或統(tǒng)計處置方式,求出最正確估值。(1)空間衛(wèi)星誤差衛(wèi)星誤差要緊包括衛(wèi)星星歷誤差、電離層傳播延遲和多徑效應誤差。衛(wèi)星廣播星歷

14、是導航電文中的一種外推的預報星歷,由于衛(wèi)星在實際運行中受多種攝動力的復雜阻礙,預報星歷必然有誤差。據(jù)文獻估量,由星歷計算的衛(wèi)星時刻的誤差為0.065-0.13So由于太陽的強輻射,電離層中的部份氣體分子被電離成大量的自由電子和正離子,當電磁波穿越電離層時,傳播速度就再也不等于真空傳播的光速,從而致使了測量誤差。電離層傳播延遲可通過相對定位方式和模型更正方式來校正。相對定位法在距離不遠的兩個站點同時觀測,由于兩站點的幾何位置大體相同,因此其電離層傳播延遲也相同,因此通過差分就能夠夠校正誤差。多途徑效應誤差是由于直接波和各發(fā)射波途徑不同使信號變形而產(chǎn)生的測量誤差,它與接收機周圍的自然環(huán)境(地理、氣

15、候等)緊密相關。文獻采納了極為復雜的方式進行計算,以為在偽距測量中的多途徑效應誤差約為0.005So(2)時刻解碼誤差GPS進展現(xiàn)狀和前景在我國,GPSE導航、授時校頻和高精度測量三個領域應用的比較普遍,涵蓋軍事部門、交通部門、郵電部門、地礦、煤礦、石油、建筑和農業(yè)、氣象、土地治理、金融、公安等部門和行業(yè)。第三章基于GPS的同步操縱系統(tǒng)的設計基于GPS的同步操縱系統(tǒng)組成概述基于GPS的同步操縱系統(tǒng)設計大體概念第一分析簡化的計時模型。設自然增加的物理時刻為t,任意計時系統(tǒng)的時刻輸出為T(t),那么用于該系統(tǒng)時刻輸出的模型可描述為TtT。1t式中T。-該系統(tǒng)相對物理時刻t開始計時運行的起始值;(1

16、)-該系統(tǒng)相對物理時刻t的增加系數(shù)。(1)可表不為dT(t)dt很顯然,若是dT&)1,即0,那么說明該計時系統(tǒng)和自然增加的物理時刻完全同步,dt這是一種理想狀態(tài)。而在任何條件下總存在0,即該計時系統(tǒng)與物理時刻t之間總存在一個增加誤差系數(shù),通經(jīng)常使用該計時裝置的最大漂移速度來描述,它與計時裝置的物理性質有關。若是對任一計時系統(tǒng)總存在一個最大的,使得dTt1dt成立,且在規(guī)定的時刻t內與物理時刻的最大鐘差2t(正負漂移)不超過給定的,那么就以為該計時系統(tǒng)與物理時刻同步。為維持這種同步關系,必將按期刷新該計時系統(tǒng)的時鐘頻率,或按期進行同步或校準。其刷新周期為t2作為特例,當用世界和諧時UTC記錄物

17、理時刻t時,所有計時系統(tǒng)都可與UTC同步。利用衛(wèi)星將標準的UTC時刻信號傳遞到各應用系統(tǒng)是實現(xiàn)高周密時刻同步最理想的手腕。GPS正常工作時同步操縱原理GPS秒脈沖輸出特性簡介秒脈沖(PPS,即:PulsePerSecond),是一個電平信號,以方波形式輸出,周期為1秒,高電平持續(xù)時刻為100微妙。高電平上升沿為PPS輸出的精準時刻,圖形如圖2所示。1S圖2秒脈沖波形示用意接收機取得有效導航的時候,脈沖上升沿時刻與UTC寸亥I相差土30納秒之內,RS-232傳輸數(shù)據(jù)中UTC時刻的輸出較秒脈沖上升沿有必然的延遲,即接收機先為用戶提供秒脈沖,再提供對應的時刻信息。GPS信號的失步在沒有收到衛(wèi)星信號或

18、導用解無效的情形下,OEM板能夠向外發(fā)送秒脈沖,但現(xiàn)在輸出的秒脈沖為GPSOE藻內部未校正鐘差、鐘漂的原始時鐘的時刻,其上升沿的時刻不準確、不穩(wěn)固,誤差較大,無有效價值。衛(wèi)星數(shù)過少。GPS收機的正確工作條件是至少同時接收到4顆衛(wèi)星的有效信號,假設能搜到的衛(wèi)星個數(shù)少于4顆,按時信號是不可用的。天線安裝位置不妥、個別衛(wèi)星退出工作、衛(wèi)星故障等都是可能造成接收信號衛(wèi)星數(shù)過少的緣故。文獻28指出,24顆衛(wèi)星的平均工作時刻是72%,21顆及以上衛(wèi)星工作的時刻是98%當工作衛(wèi)星減少時,有可能接收機所處區(qū)域成為停止效勞區(qū),從而造成信號中斷。若是有三顆衛(wèi)星退出,停止效勞的最長時刻可達65分鐘。3.2.2GPS失

19、效時的處置方案一樣的處置方式是:在GPS失步時,由頻率為20MHz的高精度恒溫晶振和計時器臨時替代GPS發(fā)出的PPS信號。GPS秒脈沖的隨機誤差分析目前,GP或收機輸出的秒脈沖與和諧世界時刻(UT。存在必然的隨機誤差e,且e服從正態(tài)散布,取樣本數(shù)為N,那么有: TOC o 1-5 h z eN(0,2)不同性能的GPS接收機,數(shù)值大小不同。設n個秒時鐘實際時刻長度序列為t1,t2,tn,每一個秒時鐘對應的隨機誤差為1,.,n,那么有:i=ti-1i=1,2,,n(2)n_tit=q(3)n由式(2)和式(3)可得隨機誤差平均值為: HYPERLINK l bookmark24 o Curren

20、t Document nnni(ti1)ti_L=L=L-1=t-1(4) HYPERLINK l bookmark34 o Current Document nnn由于當n時,有i=0,式(4)取極限值可得:i1nimt=1(5)由此可見,GPS秒脈沖在統(tǒng)計意義下具有很高的精度,能夠利用那個特性來檢測晶振的準確頻率。2)高精度晶振信號的頻率穩(wěn)固度晶振的頻率精度是指晶振的實際工作頻率與標稱頻率之間的誤差,頻率精度引發(fā)的誤差會給測量系統(tǒng)引入積存誤差。晶振的短時間頻率穩(wěn)固度在時域上是指某一取樣時刻內頻率平均值的隨機起伏的量,由阿倫方差表征:M f0 . 2m i i(fi 1fi)(6)式中:M為

21、頻差倍增倍數(shù);為采樣時刻;m為采樣組數(shù),采樣數(shù)為m1次;f0為被測頻率的標稱值;fi和11別離為第i次和第i1次的測量值。本設計中采納大一般信公司T75型溫補晶振,它是一種靠內部溫度補償網(wǎng)絡改善輸出頻率溫度特性的晶振。關于溫補晶振來講,其典型的阿倫方差值在109數(shù)量級,有很高的頻率穩(wěn)固度,因此,能夠以為它的工作頻率在短時刻內是不變的。3)產(chǎn)生高精度同步時鐘的原理利用晶振信號對GPS#脈沖距離方t數(shù),設n個秒時鐘實際時刻長度內計數(shù)器所測得的值為N1,N2,:Nn,晶振頻率為f,那么Ntifi1,2,,n(7)nn_NitiNftf(8)nn因此,n取得足夠大,就能夠夠以為:fN,即晶振實際頻率f

22、就等于計數(shù)器測得的計數(shù)值的算術平均值。因此,計算n秒內計數(shù)值的平均值就能夠夠求得晶振的實際頻率。以秒脈沖的上升沿為準,對晶振的時鐘信號進行n秒計數(shù),取得計數(shù)值后計算每秒的計數(shù)平均值。由于GPS的秒脈沖沒有累計誤差,只有隨機誤差,因此能夠將平均值看成是晶振時鐘信號的1s準確計數(shù)值,即為實際頻率fa。由于晶振工作頻率能夠以為在短時刻內是不變的,因此能夠參考前次測得的頻率對晶振信號的誤差進行修正。假設晶振的標稱頻率為fn,誤差為e,那么有:fafne(9)為了修正晶振的誤差,依照晶振的實際頻率,采納可調分頻的方式將晶振時鐘分頻取得1MHz標準信號。設標稱頻率為X(單位為MHz),那么分頻系數(shù)為X。由

23、于存在誤差,需要在分頻進程中添加或扣除脈沖(e0時添加脈沖,e0時扣除脈沖),那么分頻計數(shù)器的分頻系數(shù)需要調整為x1或x1。為了保證標準信號脈沖的均勻性,將修正的周期數(shù)平均分攤到1s的脈沖中,每隔fn/e個脈沖進行一次修正。例如,同步時鐘利用的晶振頻率為20MHz,假設測得實際工作頻率為20.000010MHz,那么它有10Hz誤差。同步時鐘在對其時鐘信號分頻時,每隔2M個脈沖就要在分頻計數(shù)器上多添加1個脈沖,用以補償1個脈沖的誤差,如圖1所示。下面對該方式進行誤差分析。由式(2)、式(8)得: TOC o 1-5 h z nnn_ti(i1)iNfff1(10)nnn由于i服從正態(tài)散布,方差

24、為2,因此算術平均值N的方差也服從正態(tài)散布,其方差為:2.22nr2fD(N)f(11) HYPERLINK l bookmark22 o Current Document nn由式(11)可見,計數(shù)算術平均值的標準誤差是GPS接收機秒脈沖標準差的f/jn倍,n的取值越大,那么測量精度越高。本設計中n取60,同步時鐘每1分鐘測算一次晶振的實際頻率,GPS接收機的為100ns,晶振的頻率為20MHz,那么計數(shù)算術平均值的誤差為0.258、次。計數(shù)值的誤差平均分攤到1S中,誤差為13ns。本設計采納添加或扣除脈沖的方式,在調整分頻系數(shù)時,存在不到一個脈沖的誤差,關于20MHz的晶振,誤差將小于50

25、ns。同步時鐘誤差不僅包括GPS秒時鐘的隨機誤差,同時也包括計數(shù)器計數(shù)時的測量誤差。因為晶振時鐘與GPS秒時鐘不可能精準同步,計數(shù)器在對晶振時鐘按時計數(shù)時,會引入1個計數(shù)值測量誤差,那么晶振測量頻率將存在1的誤差。關于20MHz的晶振,一個周期為50ns,可是頻率檢測的計數(shù)時刻為60s,輸出秒脈沖的誤差將小于1ns。由于同步時鐘校時和讀取時刻信息的延時誤差可通過軟件修正,同步時鐘的整體誤差可保證在0.1s之內。實時鐘依照1MHz標準信號進行計時,并同意GP例脈沖的同步,產(chǎn)生高精度同步時鐘。在GPS言號正常時,同步時鐘實時檢測晶振工作頻率,并依照計算值進行修正。由于GPS信號可能中斷或存在偽秒脈

26、沖的干擾,需要對GPS的秒脈沖進行有效性檢測。在GPS信號失效時,繼續(xù)采納晶振進行計時,可依照GPS寸鐘失效前的測量值對同步時鐘進行誤差修正,保證同步時鐘正常工作。3.3小結第四章基于GPSW同步操縱系統(tǒng)的實現(xiàn)及分析硬件系統(tǒng)實現(xiàn)參照基于GPS的同步操縱系統(tǒng)的設計方案,其硬件系統(tǒng)實現(xiàn)如下:接收模塊選用Navsync公司發(fā)布的最新的高性能低功耗接收機系列-CW25-TIM時刻型號0藻。電路板見圖4.1所示。OE順電氣特性及要緊性指標V環(huán)境,185mWl率損耗(最大),12個并行通道;完全校對至USNO勺UTG維尺寸:40*60*10毫米;重量:25g;典型重捕捉時刻秒;改善精度的定位維持模式;定位

27、維持的自動定位測量;天線電流傳感檢測;捕捉時刻(戶外):冷啟動:45秒溫啟動:38秒熱啟動:5秒從頭捕捉:秒(90刖信度)秒脈沖信號概念:電平概念為0V3.3VTTL;時刻標志為上升沿;脈沖寬度100m治串行I/O概念為0V,115200波特率。CW25-TIMOE順主電源是3V直流電。OE順輸出信息整秒脈沖的TTL電平,脈沖偏移量和整秒脈沖電纜延遲在軟件系統(tǒng)設計的初始化模塊中進行設置。整秒脈沖特性見圖所示。圖4.2整秒脈沖時刻信息格式Navsyc公司CW25-TIM時刻型OEMS,在支持GP或收機經(jīng)常使用的NEMA018理標準格式信息輸出的基礎上,添加了Navsync的數(shù)據(jù)輸出格式,3840

28、0比特率。在CW25-TIMFF發(fā)中經(jīng)常使用的日刻相關語句有POLY語句和POLYS句。數(shù)據(jù)結構如下:$POLYT,hhmmss.sss,ddmmyy,UTC_TOW,week,GPS_TOW,Clk_B,Clk_D,PG,BLANK,BLANK,BLANK,BLANK*cs$POLYS,GT,ID,s,AZM,EL,SN,LK,ID,s,AZM,EL,SN,LK,ID,s,AZM,EL,SN,LK,ID,s,AZM,EL,SN,LK,ID,s,AZM,EL,SN,LK,ID,s,AZM,EL,SN,LK,ID,s,AZM,EL,SN,LK,ID,s,AZM,EL,SN,LK,ID,s,AZM

29、,EL,SN,LK,ID,s,AZM,EL,SN,LK,ID,s,AZM,EL,SN,LK,ID,s,AZM,EL,SN,LK*cs語句中各個符號代表的意義是: TOC o 1-5 h z $POLYT:NavsyncProprietaryNMEAsentenceheader(PositionData);:UTCTimeinhours,minutes,secondsanddecimalsecondsformat;ddmmyy:Dateinday,month,yearformat;UTC_TO:WUTCTimeofWeek(secondswithmicrosecondsresolution);w

30、eek:GPSweeknumber(continuesbeyond1023);GPS_TOW:GPSTimeofWeek(secondswithmicrosecondsresolution);Clk_B:ReceiverclockBias(nanoseconds);Clk_D:ReceiverclockDrift(nanoseconds/second);PG:1PPSGranularity(nanoseconds);GT:NumberofGPSsatellitestracked; TOC o 1-5 h z ID:SatellitePRNnumber(1-32);S:Satellitestat

31、us-=notusedU=usedinsolutione=availableforuse,butnoephemeris;AZM:Satelliteazimuthangle(range000-359degrees);EL:Satelliteelevationangle(range00-90degrees);SN:Signaltonoiseratioin(range0-55dB/Hz);LK:Satellitecarrierlockcount(range0-255seconds)0=codelockonly255=lockfor255ormoreseconds;cs:Messagechecksum

32、inhexadecimal。3.GPS接收天線天線采納的匹配天線一OncoreTiming2000型天線,見圖。其特點:25dB運作天線;5由境;26mA勺電流消耗典型值;高強度支柱;典型噪聲刻畫;士50MHz40dB小濾波。天線安裝歷時,請注意:幸免與電源直接接觸;安裝位置上空需360度視野,不能放置任何障礙物在天線上方或周圍,不能觸碰天線連接處的中心接頭以幸免靜電釋放對天線的損5V。害;用50歐姆的傳輸線連接天線;天線供電電壓不能超過電源模塊本系統(tǒng)采納的供電方式為外部供電方式利用外部電源給系統(tǒng)供電;數(shù)據(jù)處置模塊數(shù)據(jù)處置模塊由主處置器、配置芯片組成和高穩(wěn)固度石英晶體振蕩器三部份組成。主處置器

33、主CP樂納Xilinx公司Spartan-IIE系列的FPGA它具有從5萬至60萬門的器件密度;不同密度器件間設計移植的無縫途徑;壯大的特性集合一DLL、散布式RA硼塊RAM提供了開發(fā)覺今的數(shù)字融合產(chǎn)品所需要的各類資源;具有優(yōu)良的I/O功能:最多可達514個I/O(比任何其它低本錢FPGATB多),支持19種標準,包括低壓差分信號(LowVoltageDifferentialSignal,LVDS、高速收發(fā)邏輯(HighSpeedTransceiverLogic,HSTD和PCI,能夠做擴展;它是所有可編程解決方案中最低的單位I/O本錢:514個單端I/O包括205個差分對,每引腳對提供高達4

34、00Mb/s的高性能,兼容PCI32/64位,66MHz依據(jù)本系統(tǒng)的設計要求及規(guī)模考慮,選用300E型號芯片,PQ208寸裝。配置芯片配置芯片選用匹配的Xilinx公司在線可編程配置芯片XC3舔列。采納邊緣掃描鏈模式,JTAG莫式配置下載程序,連接原理圖如所示。QaeOQOrns rm L-Q HMQ UCD3A/3R22 WAr GZ3 iSS iaaJPtH24AV1E0F口瑜Pr白專ynMFlcq&:qri總1=HISCIMS rcK TV1 TOO810_LW_27na3!0S_?/r*lgIPgHBMl t/N2e 10 LE8上/XSKDOf praaG_3 ;o Laa.?/Di

35、n/aa lO_L01k_2/lhttT_B 2 ve2P.2/tt0ur/jSV 3OJ.18N a/HSU*3mnra a HEUEKR nqp2nnhjF與寸而。3_拈口口已圖4.4在線配置及下載連接高穩(wěn)固度石英晶體振蕩器頻率標準作為同步系統(tǒng)設計的心臟,其性能直接阻礙到本系統(tǒng)的精度。本系統(tǒng)的頻率標準采納高穩(wěn)固度的石英晶體振蕩器,它是利用石英晶片的壓電效應而制造,相對原子頻率標準而言,具有本錢低廉、利用要求低、體積小的特點。從性能和本錢兩方面考慮,本系統(tǒng)采納LTC6905振蕩器。它是固態(tài)CMOS件,不包括任何的內部機械諧振成份。性能參數(shù)如下:頻率范圍:17MHz-170MHz;電源供電;在

36、從-40C到+125C的整個范圍內提供107的穩(wěn)固度。接口模塊串口:本系統(tǒng)提供兩個串口,均提供給用戶信號與數(shù)據(jù)。二者都需要采納電平轉換器進行TTL電平轉換為RS232勺電平。那個地址的TTL電平是的,同時留意到FPGAWO管腳高低電平的概念范圍,因此采納了供電、低電平范圍()、高電平范圍()的MAX3232I平轉換器。自概念并口:驅動并口:4.2軟件系統(tǒng)實現(xiàn)VerilogHDL語言是在笊言的基礎上進展而來。從語法結構上看,VerilogHD用言與C語言有許多相似的地方,繼承和借鑒了C語言的很多語法結構。固然,VerilogHDL作為一種硬件描述語言,與曲言仍是有著本質的區(qū)別。VerilogHD

37、L語言具有以下特點:既能進行面向綜合的電路設計,又可用于電路的模擬仿真。既能在多個層次上對所設計的系統(tǒng)加以描述,又從開關級、門級、寄放器傳輸級(RTL)到行為級等,都能夠勝任;設計的規(guī)模是任意的,語言不對設計的規(guī)模施加任何限制。靈活多樣的電路描述風格,能夠進行行為描述,也能夠進行結構描述或數(shù)據(jù)流描述;支持混合建模,在一個設計中各個模塊能夠在不同的設計層次上建模和描述。內置各類大體邏輯門,如and、or、nand等,能夠方便的進行門級結構描述;內置各類開關元件,如pmos、nmoscmos等,能夠進行開關級的建模。用戶概念原語(UDP創(chuàng)建的靈活性。用戶概念原語既能夠是組合邏輯,也能夠是時序邏輯;

38、能夠通過編程語言接口(PLI)機制進一步擴展VerilogHDL語言的描述能力。本系統(tǒng)采納VerilogHDL硬件描述語言。依照FPGA勺設計特點,采納自上而下的設計方式及層次結構設計,將整個功能的實現(xiàn)分為5個模塊:接口模塊、操縱模塊、時刻信息提取模塊、數(shù)據(jù)處置模塊和比較模塊,最后在最頂層通過圖形設計及將各模塊合成一個系統(tǒng)。頂層圖形設計如圖4.5所示。圖4.5頂層圖形設計整個軟件設計進程采納Xilinx公司工具平臺,仿真、綜合和實現(xiàn)均在此平臺完成,最后通過Xilinx公司的下載電纜將程序下載到配置芯片中。解碼模塊FPGA需要自己編譯串口接收程序,實現(xiàn)9600比特率異步串口輸入信號轉化為并口數(shù)據(jù)

39、,進而轉化為程序內部操作的數(shù)據(jù)格式。通過對$POLYED$POLY潞式的數(shù)據(jù)信息解碼,取得準秒脈沖的時刻信息。同時對解碼的進程設計數(shù)據(jù)包,增強程序的可讀性和可移植性。OEM軍碼模塊輸出的數(shù)據(jù)或功能犯錯的時候,返回執(zhí)行初始化模塊。解碼模塊的任務是提取GP或收機發(fā)來的NMEAg句中的時刻信息。FPGA勺串口接收到GP或收機發(fā)來的NEMA$POLY語句,I/O操縱模塊檢測語句開始和終止標志字符,并檢查語句格式的正確性,將正確的語句存入輸入緩存,再從緩存中提掏出時刻信息并鎖存。$POLYT語句格式如下:$POLYT,hhmmss.ss,ddmmyWTC_TOWeek,GPS_TO,Wlk_B,Clk_D,PG,cs,每一項以逗號相隔,其中第一項為格林威治時刻的時、分、秒信息,F(xiàn)PGA操縱板通過解讀此報文信息即可取得與1PPS信號對應的時刻信息。解碼模塊工作的程序流程圖如圖3所示:程序初始化Buf7卜Buf12寫入寄存器并鎖存圖3解碼模塊工作流程圖數(shù)據(jù)處置模塊數(shù)據(jù)處置模塊包括兩部份內容,別離是調整從緩存提取的時刻信息和產(chǎn)生同步觸發(fā)信號。調整時刻信息包括兩個方面,第一,GP牖收機輸出的時刻是GMT寸刻,需要轉換成當前地理位置所在時區(qū)的時刻;第二,由于GP或收機的時刻信息老是在與之對應的秒脈沖上升沿到來后從串口發(fā)出,

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論