畢業(yè)論文-家用可燃?xì)怏w報警器的設(shè)計_第1頁
畢業(yè)論文-家用可燃?xì)怏w報警器的設(shè)計_第2頁
畢業(yè)論文-家用可燃?xì)怏w報警器的設(shè)計_第3頁
畢業(yè)論文-家用可燃?xì)怏w報警器的設(shè)計_第4頁
畢業(yè)論文-家用可燃?xì)怏w報警器的設(shè)計_第5頁
已閱讀5頁,還剩30頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、 PAGE V 本科生畢業(yè)論文(設(shè)計) 題目:家用可燃?xì)怏w報警器的設(shè)計 學(xué) 院 學(xué)科門類 專 業(yè) 學(xué) 號 姓 名 指導(dǎo)教師 2015 年 1 月 12 日摘 要家用危險氣體報警器依據(jù)A/D轉(zhuǎn)換原理,將氣體濃度這一被測量轉(zhuǎn)換成數(shù)字量,并在LCD顯示屏上顯示出測量結(jié)果。本設(shè)計使用ADC0809對輸入的信號進(jìn)行A/D轉(zhuǎn)換,89C51單片機(jī)對轉(zhuǎn)換的結(jié)果進(jìn)行運(yùn)算和處理,最后驅(qū)動液晶顯示器顯示最終的數(shù)據(jù)結(jié)果,將數(shù)值與設(shè)定值比較,控制聲光報警以及電磁繼電器的吸合。系統(tǒng)可自行設(shè)定上限報警值。Keil提供了包括 C 語言編譯器、連接器、宏匯編、庫管理和一個功能強(qiáng)大的仿真器等在內(nèi)的完整解決方案,并通過uViSio

2、n集成開發(fā)平臺將這些部分組合在一起。Proteus是一款運(yùn)行在PC機(jī)上的,可以進(jìn)行仿真、分析各種模擬器件和集成電路,是具有單片機(jī)和高級電路分析等多重功能的仿真軟件。Proteus軟件功能強(qiáng)大,具有形象直觀、硬件投入少、系統(tǒng)資源豐富等優(yōu)點。在實際過程中,我們使用Proteus來設(shè)計系統(tǒng)的硬件電路,用Keil來編寫系統(tǒng)所需要的軟件語言,完成編譯后,將生成的HEX文件導(dǎo)入Proteu硬件電路中進(jìn)行仿真。本頁為中文摘要樣版關(guān)鍵詞: ADC0809 本頁為中文摘要樣版AbstractHousehold hazardous gas alarm use A/D conversion principle, t

3、o convert the measured quantity into digital quantity, and show the result on the LCD screen. We use the ADC0809 to transform the analog signal to digital signal in this design, using 89C51 single-chip to operate and process the result of the conversion, at last driving the LCD screen to show the di

4、gital signal, and compare the data to the pre-set data, control the light and voice alerting and the running of electromagnetic relay. We can set the alert line of the system. The Keil software provide a complete solution program which include C compiler, connectors, macro assembler, database manage

5、ment and a powerful debugger, through an integrated development platform the uVision will get these parts together. Proteus is an emulational software which can emulate and analyze different analog items and integrated circuit, with the function of single chip and advanced circuit analysis. The Prot

6、eus has powerful function, with the advantage of visual in image, less of hardware inputting and abundant system resource. During the real process, we use the Proteus to design the systems circuit, and using the Keil to compile systems program, after compiling, we put the generated HEX file to Prote

7、us to start emulation.Key Words: 89C51 ADC0809本頁為本頁為英文摘要樣版目 錄 TOC o 1-3 h z u HYPERLINK l _Toc416135500 1緒 論 PAGEREF _Toc416135500 h 7 HYPERLINK l _Toc416135501 1.1 課題研究的目的意義 PAGEREF _Toc416135501 h 7 HYPERLINK l _Toc416135502 1.2 國內(nèi)外研究現(xiàn)狀 PAGEREF _Toc416135502 h 8 HYPERLINK l _Toc416135503 1.2.1 一氧化

8、碳檢測的現(xiàn)狀 PAGEREF _Toc416135503 h 8 HYPERLINK l _Toc416135504 1.2.2 我國一氧化碳檢測的發(fā)展 PAGEREF _Toc416135504 h 8 HYPERLINK l _Toc416135505 1.2.3 各國一氧化碳檢測的發(fā)展 PAGEREF _Toc416135505 h 8 HYPERLINK l _Toc416135506 2總體設(shè)計方案 PAGEREF _Toc416135506 h 10 HYPERLINK l _Toc416135507 2.1系統(tǒng)的功能要求 PAGEREF _Toc416135507 h 10 HY

9、PERLINK l _Toc416135508 2.2 氣體警報器控制系統(tǒng)的技術(shù)要求 PAGEREF _Toc416135508 h 10 HYPERLINK l _Toc416135509 2.3 系統(tǒng)的組成及方案設(shè)計 PAGEREF _Toc416135509 h 10 HYPERLINK l _Toc416135510 3系統(tǒng)的硬件設(shè)計 PAGEREF _Toc416135510 h 12 HYPERLINK l _Toc416135511 3.1 氣體濃度采集模塊 PAGEREF _Toc416135511 h 12 HYPERLINK l _Toc416135512 3.1.1 MQ

10、-7氣敏傳感器 PAGEREF _Toc416135512 h 12 HYPERLINK l _Toc416135513 3.1.2 ADC0809 PAGEREF _Toc416135513 h 14 HYPERLINK l _Toc416135514 3.2 按鍵模塊 PAGEREF _Toc416135514 h 15 HYPERLINK l _Toc416135515 3.3最小系統(tǒng) PAGEREF _Toc416135515 h 16 HYPERLINK l _Toc416135516 3.4 LCD顯示模塊 PAGEREF _Toc416135516 h 17 HYPERLINK

11、l _Toc416135517 3.5 聲光報警模塊 PAGEREF _Toc416135517 h 18 HYPERLINK l _Toc416135518 3.5.1 燈光提示電路 PAGEREF _Toc416135518 h 18 HYPERLINK l _Toc416135519 3.5.2 聲音報警電路 PAGEREF _Toc416135519 h 19 HYPERLINK l _Toc416135520 3.6 負(fù)載控制電路 PAGEREF _Toc416135520 h 20 HYPERLINK l _Toc416135521 4系統(tǒng)的軟件設(shè)計 PAGEREF _Toc416

12、135521 h 20 HYPERLINK l _Toc416135522 4.1 主程序模塊 PAGEREF _Toc416135522 h 20 HYPERLINK l _Toc416135523 4.2顯示模塊 PAGEREF _Toc416135523 h 22 HYPERLINK l _Toc416135524 4.3報警模塊 PAGEREF _Toc416135524 h 22 HYPERLINK l _Toc416135525 4.4按鍵模塊 PAGEREF _Toc416135525 h 24 HYPERLINK l _Toc416135526 5 聯(lián)調(diào)與調(diào)試 PAGEREF

13、_Toc416135526 h 25 HYPERLINK l _Toc416135527 5.1 仿真調(diào)試 PAGEREF _Toc416135527 h 25 HYPERLINK l _Toc416135528 5.2 實物調(diào)試 PAGEREF _Toc416135528 h 26 HYPERLINK l _Toc416135529 6 總 結(jié) PAGEREF _Toc416135529 h 28 HYPERLINK l _Toc416135530 參考文獻(xiàn) PAGEREF _Toc416135530 h 29 HYPERLINK l _Toc416135531 附 錄 PAGEREF _T

14、oc416135531 h 30 HYPERLINK l _Toc416135532 附錄一(原理圖) PAGEREF _Toc416135532 h 30 HYPERLINK l _Toc416135533 附錄二(PCB圖) PAGEREF _Toc416135533 h 31 HYPERLINK l _Toc416135534 附錄三(焊接圖) PAGEREF _Toc416135534 h 32 HYPERLINK l _Toc416135535 附錄四(程序) PAGEREF _Toc416135535 h 33 PAGE VI PAGE 35緒 論1.1 課題研究的目的意義我們都知

15、道煤氣的主要成分是一氧化碳,而一氧化碳是一種有毒可燃性氣體,常溫下無色無味。相對密度略低于空氣,和人體可以直接接觸,適合監(jiān)測儀的探測。本設(shè)計的核心,就是檢測家庭環(huán)境中的一氧化碳。由于一氧化碳的無色無味特性,人們在中毒時幾乎感覺不到,而正是這樣的一種特性,使得一氧化碳變得更加危險。一般情況下,一氧化碳被人吸入體內(nèi)后,會迅速的和血紅蛋白結(jié)合,形成碳氧血紅蛋白。而且它們結(jié)合后不易分離這就會讓人體內(nèi)的器官因載氧血紅蛋白不足而導(dǎo)致缺氧。嚴(yán)重的,還能奪走體內(nèi)的氧氣,腦及全身組織缺氧中毒而窒息。因此一旦空氣中的一氧化碳濃度超過了人體的警戒值,就會給人們帶來極大的生命威脅。一氧化碳很早就被確定為火災(zāi)預(yù)測預(yù)報的

16、監(jiān)測氣體。傳統(tǒng)的火災(zāi)報警器大多是以溫度、煙霧以及火焰為檢測的關(guān)鍵特征,而這些特征卻幾乎都是發(fā)生在火災(zāi)出現(xiàn)之后,這就談不上對火災(zāi)的預(yù)警預(yù)報。另外,由于這些特征并不能作為火災(zāi)出現(xiàn)的關(guān)鍵性因素,也就使得錯報、誤報的幾率大大增加,這極大地降低了火災(zāi)報警器的實用性。隨著近年來傳感器技術(shù)的發(fā)展,使用氣體傳感器的火災(zāi)報警器也開始嶄露頭角。氣體傳感器的大小適中,靈敏度較高,重要的是,由于是檢測火災(zāi)發(fā)生前的誘因,相比較傳統(tǒng)的物理特性檢測而言,具有真正意義的預(yù)警預(yù)報作用,特別是在當(dāng)今社會下,多數(shù)人的工作和休息由室外轉(zhuǎn)向室內(nèi),室內(nèi)的安全問題也越來越受到重視,空氣的質(zhì)量問題首當(dāng)其沖。而隨著城市家用燃?xì)獾难杆倨占?,一?/p>

17、化碳在為人們帶來潔凈的能源的同時,也為人們埋下了安全隱患,因此一氧化碳的檢測就顯得極為重要。所以為了人們的人身安全,在室內(nèi)裝備一個危險氣體報警器是非常有必要的。1.2 國內(nèi)外研究現(xiàn)狀1.2.1 CO檢測的現(xiàn)狀目前,一氧化碳傳感器主要采用的是基于三點定電位的電化學(xué)原電池傳感器。1975年左右,美國安特奎提克斯科技公司發(fā)明了基于三電極控制電位原理的一氧化碳敏感元件專利產(chǎn)品。而根據(jù)敏感元件電解質(zhì)性質(zhì)的不同,可以分為膠體、固體和液體三種電解質(zhì)一氧化碳敏感元件。分析方法上的分類有:層析法、電化學(xué)法、熱導(dǎo)電氣法、半導(dǎo)電氣法、紅外吸收法以及紫外吸收法等。1.2.2 我國CO檢測的發(fā)展自上世紀(jì)初哈佛大學(xué)發(fā)明了

18、第一支一氧化碳?xì)怏w檢測管之后,我國從1950年起也開始使用氣體檢測管來檢測一氧化碳濃度。隨著氣體檢測管技術(shù)的日趨完善,氣體檢測管的適用范圍還在不斷擴(kuò)大。由于氣體檢測管檢測的快速和準(zhǔn)確,目前仍是氣體檢測的一個重要方法。在建國早期,國內(nèi)的有關(guān)單位如大連化學(xué)物理研究所主要是引進(jìn)并仿制德國的產(chǎn)品。可是由于受到國內(nèi)敏感元件材料的不足以及對其的加工技術(shù)水平限制,國產(chǎn)氣體檢測管的測量范圍小,使用壽命短、密封性差、合格率低,產(chǎn)品的性能與國際先進(jìn)水平還有很大的差距。目前,雖然國內(nèi)已有多家企業(yè)生產(chǎn)這種報警器,但是像敏感元件這類關(guān)鍵器件仍由國外壟斷,這就導(dǎo)致報警器的價格偏高,自主生產(chǎn)的報警還存在技術(shù)水平相對滯后的問

19、題,一些要求長壽命的檢測報警儀仍需向國外進(jìn)口。國外從20世紀(jì)30年代開始研究及開發(fā)氣體傳感器,且發(fā)展迅速。日本于1963年5月完成開發(fā)第一臺接觸燃燒式家用燃?xì)庑孤﹫缶?。美?996年2002年氣體傳感器年均增長率為27%30%。我國在70年代初期開始研發(fā)可燃性氣體報警器,之后報警器的型號也由少變多,應(yīng)用范圍由小極大,產(chǎn)品的數(shù)量也在不斷地增加,但多數(shù)產(chǎn)品都是在引進(jìn)國外領(lǐng)先的技術(shù)和精準(zhǔn)的產(chǎn)品生產(chǎn)工藝基礎(chǔ)上來進(jìn)行研究,繼而逐漸形成自己的特色。近年來,在產(chǎn)品穩(wěn)定性和氣體選擇性上也有了很大的進(jìn)步。 羅學(xué)恒. 單片機(jī)實踐與應(yīng)用M.北京:電子工業(yè)出版社,20101.2.3 各國CO檢測的發(fā)展目前市場上廣泛

20、使用的一氧化碳傳感器主要有電化學(xué)固體電解質(zhì)型、電化學(xué)固體高分子電解質(zhì)型和金屬氧化物半導(dǎo)體型等三種類型。上世紀(jì)70年代中期,電化學(xué)一氧化碳傳感器問世,由于其具有靈敏度高、選擇性比較自由、構(gòu)造簡單以及使用和維護(hù)起來方便等特點,受到國內(nèi)外的青睞和重視。目前國外電化學(xué)一氧化碳傳感器絕大多數(shù)使用的是鉑黑催化電極,液體酸性電解質(zhì),以及電化學(xué)法中恒電位電解法來進(jìn)行一氧化碳的濃度檢測。近年來,美日德等國等對一氧化碳傳感器的研究發(fā)展較快。其中具有代表性的產(chǎn)品主要有:中美聯(lián)合研制Mini一氧化碳型電化學(xué)CO傳感器;日本CO-7型,CO-82型電化學(xué)傳感器;美國LD-145型電化學(xué)CO傳感器。隨著目前科學(xué)技術(shù)的不斷

21、發(fā)展以及人們對系統(tǒng)的易維修性、長時工作穩(wěn)定性等方面的要求越來越高,一氧化碳檢測儀也正朝著智能多功能、微小集成和通用互聯(lián)網(wǎng)化的方向發(fā)展。如今,隨著MEMS技術(shù)和半導(dǎo)體工藝的發(fā)展,紅外氣體分析器也將變得更加的微型化、便攜化。總體設(shè)計方案本設(shè)計主要是實現(xiàn)煤氣(一氧化碳)濃度監(jiān)測以及超過上限時的報警及控制,下面分別對系統(tǒng)功能要求、系統(tǒng)技術(shù)要求及系統(tǒng)實現(xiàn)方案總體闡述。2.1系統(tǒng)的功能要求本系統(tǒng)的研制主要包括以下幾項功能:(1)實時監(jiān)測環(huán)境中一氧化碳的濃度值;(2)聲光報警功能:當(dāng)環(huán)境一氧化碳的濃度超過設(shè)定的上限值時,蜂鳴器要進(jìn)行聲音報警,紅色LED燈進(jìn)行燈光警示,同時吸和繼電器,排氣扇工作,降低當(dāng)前周圍

22、環(huán)境中一氧化碳的濃度。2.2 氣體警報器控制系統(tǒng)的技術(shù)要求了解這個系統(tǒng)的工作原理以及功能之后,就可以基本確定系統(tǒng)的技術(shù)要求。系統(tǒng)采用的是低成本的單片機(jī),可以滿足批量生產(chǎn)和各類工程的需求。對于完整的檢測報警系統(tǒng)而言,為提高市場的競爭力,這個系統(tǒng)應(yīng)該有著體積小、功耗低、數(shù)據(jù)傳輸性能可靠和成本低廉等技術(shù)優(yōu)勢。具體指標(biāo)和參數(shù)如下:(1)體積?。禾綔y器的體積要盡可能的小,這樣才能減少占用的空間,使用和更換就會方便很多;(2)功耗低:本系統(tǒng)可以使用5v電源供電或三節(jié)5號干電池供電。(3)可靠性高:由于在于系統(tǒng)工作環(huán)境中可能有不確定的電磁干擾,為了保證系統(tǒng)長時間的可靠工作,同時減少誤報次數(shù),可以選擇多指示燈

23、,用來指示不同的狀態(tài)。2.3 系統(tǒng)的組成及方案設(shè)計本設(shè)計主要由一氧化碳傳感器電路、STC89C51單片機(jī)、燈光報警電路、負(fù)載驅(qū)動電路,顯示電路等硬件部分和控制程序和編解碼程序等軟件部分組成。整個系統(tǒng)的設(shè)計大致可看成:氣體濃度檢測模塊采集氣體濃度的模擬數(shù)據(jù),經(jīng)由AD轉(zhuǎn)換器交給單片機(jī)處理,經(jīng)分析判定之后再由LCD模塊顯示濃度,超過設(shè)定值時聲光報警。在系統(tǒng)設(shè)計之初,考慮到煤氣(一氧化碳)的有毒屬性,我在設(shè)計電路的時候添置了一個小風(fēng)扇,使得在一氧化碳濃度較高時的檢測能夠迅速稀釋濃度,以保證不至于一氧化碳濃度高時出現(xiàn)中毒現(xiàn)象。系統(tǒng)的組成結(jié)構(gòu)如下: 系統(tǒng)的硬件設(shè)計3.1 氣體濃度采集模塊如圖3.1所示,在

24、這個電路中,有兩個部分,主要是CO傳感器檢測氣體濃度,將電壓信號給ADC0809,模數(shù)轉(zhuǎn)換電路將模擬信號轉(zhuǎn)換成數(shù)字信號給單片機(jī),單片機(jī)再讀取相應(yīng)的數(shù)值和處理。圖3.1 氣體濃度采集模塊電路3.1.1 MQ-7氣敏傳感器MQ-7型氣體傳感器用于以CO為主要成分的氣體的測量,而且它抗干擾能力強(qiáng),受水蒸氣、煙等干擾氣體的影響小。MQ-7型氣敏元件具有以下特點:獨立供電,功耗低,僅0.7W左右;使用燒結(jié)半導(dǎo)體所形成的敏感燒結(jié)體,具有穩(wěn)定的R值(即器件在純潔空氣中的阻抗),從而保證了長期工作的穩(wěn)定性;對檢測氣體有極高的靈敏度和快速的響應(yīng)恢復(fù)。器件的靈敏度:S=Ro/Rx為1030。常見為QM系列的S值僅

25、8左右。Rx為器件在CO濃度為0.2%時的阻抗。器件的主要參數(shù)如下:加熱電壓:V=5+0.2V;加熱功率::約0.7W;響應(yīng)時間:Tr10s;恢復(fù)時間:Tn60s;工作環(huán)境:溫度-10+50 濕度85%RH抗干擾能力:CO濃度在0.2%時,在濕度小于85%RH溫度處于-10+40之間不會引起誤報。 MQ-7型氣敏元件在CO濃度不到0.6%時有極高的靈敏度。通電80s后,元件進(jìn)入穩(wěn)定待測工作狀態(tài)。 朱越. 低成本可燃性氣體泄漏報警器J. 電子設(shè)計工程. 2010(06)廣泛的探測范圍;驅(qū)動電路簡單。3.1.2 ADC0809ADC0809有28個引腳,采用雙列直插式封裝,是一種逐次逼近的8位開關(guān)

26、樹型A/D轉(zhuǎn)換器。下面是它的工作原理。輸入三位地址后使ALE(地址鎖存允許輸入線)為高電平,把地址存到地址鎖存器中。此地址通過譯碼選通器的一個模擬輸入端到比較器。這時START上升沿就會逐次逼近寄存器并復(fù)位。復(fù)位后,下降沿開始啟動 A/D轉(zhuǎn)換,EOC輸出信號開始慢慢變低,指示轉(zhuǎn)換仍在進(jìn)行,直到A/D轉(zhuǎn)換完成之后,EOC就會轉(zhuǎn)為高電平,此時A/D轉(zhuǎn)換結(jié)束,數(shù)據(jù)結(jié)果保存至鎖存器。當(dāng)VOE=1(即輸出允許信號)時,輸出三態(tài)門打開,轉(zhuǎn)換之后的量將會輸出到數(shù)據(jù)總線上。 趙新民. 模數(shù)轉(zhuǎn)換器與P之接口(續(xù))J. 電測與儀表. 1983(05)A/D轉(zhuǎn)后的數(shù)據(jù)會傳給單片機(jī)來處理。但是,如何確定轉(zhuǎn)換已完成才是

27、數(shù)據(jù)傳輸?shù)年P(guān)鍵所在,因為一旦確定了轉(zhuǎn)換已完成,那么就可以接著進(jìn)行傳送。為此可以使用以下三種方式。(1)查詢方式A/D轉(zhuǎn)換芯片在完成轉(zhuǎn)換時有一個狀態(tài)信號。因此可以利用查詢方式來測試狀態(tài)信號的狀態(tài)來確認(rèn)轉(zhuǎn)換是否結(jié)束,并繼續(xù)來傳送數(shù)據(jù)。(2)中斷方式用表明轉(zhuǎn)換完成的那個狀態(tài)信號標(biāo)記為中斷請求信號,用中斷方式來傳送數(shù)據(jù)。(3)定時傳送方式轉(zhuǎn)換時間作為一項技術(shù)指標(biāo),對于某一確定型號的轉(zhuǎn)換器來說,這個值是固定不變的。由此可以設(shè)計一個Delay子程序,ADC0809啟動后即可調(diào)用該子程序,延遲時間一到,轉(zhuǎn)換即已完成,也就可以傳送數(shù)據(jù)了。但是一旦完成了轉(zhuǎn)換,不管使用上述哪種方式,都可以通過指令進(jìn)行數(shù)據(jù)傳送。3

28、.2 按鍵模塊本設(shè)計采用按鍵接低的方式來讀取按鍵,單片機(jī)初始時,因為為高電平,當(dāng)按鍵按下的時候,會給單片機(jī)一個低電平,單片機(jī)對信號進(jìn)行處理。單片機(jī)鍵盤有矩陣式鍵盤和獨立鍵盤兩種:矩陣式鍵盤式接法程序比較復(fù)雜,但是占用的I/O少,可以給其他設(shè)備挪出不必要的接口;而獨立鍵盤每一個I/O 口上只接一個按鍵,按鍵的另一端接地,這種接法程序比較簡單而且系統(tǒng)也更加穩(wěn)定。本設(shè)計中只有三個按鍵,電路結(jié)構(gòu)也不是很復(fù)雜,沒有太多的外界單元,所以就選擇了獨立鍵盤。獨立式鍵盤的實現(xiàn)方法是通過讀取單片機(jī)I/O口的電平高低來判斷是否有按鍵動作。將按鍵的一端接I/O口,另一常開端接地,初始情況下I/O的電平為高,若有按鍵動

29、作時,則該I/O的電平由高轉(zhuǎn)向低,動作結(jié)束后,單片機(jī)的上拉電阻又會使I/O的電平變高,這就完成了一個完整的按鍵動作;若無按鍵動作,則I/O會保持在原先的高電平狀態(tài)。在不了解是否有過按鍵動作時,我們只需在程序中查尋該I/O口的電平狀態(tài)就可以了。在使用單片機(jī)對鍵盤處理的過程中常常會出現(xiàn)鍵盤抖動。鍵盤抖動是一種信息的干擾。當(dāng)鍵盤在未按到按下的臨界區(qū)而產(chǎn)生不穩(wěn)定的電平時,我們稱之為鍵盤抖動,這是一種正常的現(xiàn)象,但卻無法通過改變按鍵而讓抖動消失。這種抖動一般在會有10200ms。可以通過硬件和軟件兩種方法消除。硬件去抖動就是用一個簡易電路來處理抖動部分使之消除抖動;軟件去抖動是在檢測到第一個電平發(fā)生變化

30、后,延時一段時間之后再來檢測電平狀態(tài),通過延時過程將抖動忽略掉。出于成本的考慮,本設(shè)計采用了軟件去抖動,具體的做法是當(dāng)按鍵出現(xiàn)低電平時立即延時上述時間來避開抖動,延時結(jié)束后重新讀一次I/O 口的值,如果是1 ,表示低電平的時間不到10200ms,那這就是干擾信號。當(dāng)讀出值為0時,則表示有按鍵按下,應(yīng)調(diào)用相應(yīng)的處理程序。鍵盤的硬件電路如圖3.5所示:圖3.2 按鍵電路3.3最小系統(tǒng)單片機(jī)最小系統(tǒng)由兩個部分構(gòu)成復(fù)位電路和時鐘電路。單片機(jī)的復(fù)位是把電路回歸到一個確定的、初始的狀態(tài),通常是空狀態(tài)。復(fù)位電路是在單片機(jī)的復(fù)位引腳上外接一個電阻和一個電容。接上電源后,按下開關(guān)即可實現(xiàn)系統(tǒng)的復(fù)位功能。復(fù)位電平

31、的存在時間必須要大于或等于兩個機(jī)器周期,否則復(fù)位無效。復(fù)位的具體時間常數(shù)可由RC電路計算得出。晶振電路雖然很簡單,但是晶振的作用很大,沒有晶振提供的時鐘頻率,單片機(jī)就無法完成所有的指令執(zhí)行,也就不能達(dá)到我們所需要的功能,因此每個單片機(jī)都少不了晶振電路。它結(jié)合單片機(jī)內(nèi)部電路產(chǎn)生系統(tǒng)所需的時鐘頻率,晶振提供的時鐘頻率和運(yùn)行速度是呈正相關(guān)的,頻率越高,運(yùn)行速度越快。在一般情況下,晶振的頻率精度都比較高,高級一點的晶振精度有可能更高。在一定范圍內(nèi),晶振頻率允許讓外加電壓調(diào)整的,稱之為壓控振蕩器。為了能在共振的狀態(tài)下工作,晶振所使用的晶體是可以將電能和機(jī)械能相互轉(zhuǎn)化的,這樣可以提供精準(zhǔn)的振蕩頻率。晶振的

32、主要作用就是為系統(tǒng)提供穩(wěn)定統(tǒng)一的時鐘信號。一般情況下,一個系統(tǒng)只使用一個晶振,這樣便于系統(tǒng)各部分的時鐘保持同步。晶振在工作時通常要配合使用鎖相環(huán)電路來提供系統(tǒng)所需的時鐘頻率。如果子系統(tǒng)需要不同頻率的時鐘信號,那么可以在一個晶振上來連接不同的鎖相環(huán)以保證產(chǎn)生不同頻率的時鐘。瞿生輝,馮毛官單片機(jī)原理與應(yīng)用瞿生輝,馮毛官單片機(jī)原理與應(yīng)用M西安:西安電子科技大學(xué)出版社,1989STC89C51使用11.0592MHz的晶振作為振蕩源,由于C51單片機(jī)內(nèi)部已有振蕩電路,晶振電路也比較簡單,由一個晶振和兩個電容組成,電容一般在15pF至50pF之間。最小系統(tǒng)硬件圖如下:圖3.3 單片機(jī)最小系統(tǒng)3.4 LC

33、D顯示模塊液晶(Liquid Crystal Display)是一種介于固態(tài)和液態(tài)之間的有機(jī)化合物,通過電壓對其顯示區(qū)域進(jìn)行控制,改變液晶分子的形態(tài),就可以顯示出圖形?;诒?、低功耗、適用于LSI直接驅(qū)動以及低輻射等特點,LCD顯示屏已被廣泛使用于包括PC、DV這些數(shù)碼設(shè)備在內(nèi)的眾多領(lǐng)域。LCD1602A 是一種工業(yè)字符型液晶,最多能夠同時顯示16x02 即32個字符(16列2行)。在單片機(jī)的視覺信息交流界面中,一般的交流介質(zhì)有以下幾種:發(fā)光二極管點陣、LCD顯示器、LED數(shù)碼管。發(fā)光二極管和LED數(shù)碼管比較常用,并且軟硬件相對簡單。冉莉冉莉,王民. 基于單片機(jī)的液晶顯示模塊硬軟件設(shè)計開發(fā)J.

34、 科技廣場. 2011(05)在單片機(jī)系統(tǒng)中液晶顯示器有以下幾個優(yōu)點:在觀看過老式的“大箱”電視之后,大家肯定會有這樣一個感覺:電視機(jī)的屏幕不停地在刷新,雖然這種刷新頻率很快,但還是很難受,而液晶顯示屏則會恒定發(fā)光不會出現(xiàn)屏幕閃爍。因此,液晶顯示器的顯示畫質(zhì)好,界面顯示穩(wěn)定且不會閃爍。人們通過改變顯示器上的電場來控制液晶分子的排列狀態(tài),進(jìn)而達(dá)到顯示目的的,相比傳統(tǒng)的CRT顯示器而言,省去了笨重的陰極管腔體,顯得更加的輕便。同時,由于LCD顯示器是數(shù)字式輸出,和單片機(jī)的接口的連接不需要進(jìn)行A/D轉(zhuǎn)換,顯示起來就很方便迅速,沒有遲滯??偟膩碚f,液晶顯示器的功耗相比一些其他的顯示器來說很低,電能的消

35、耗主要集中在其內(nèi)部電極和驅(qū)動IC上。圖3.4 液晶顯示電路設(shè)計3.5 聲光報警模塊3.5.1 燈光提示電路LED的特點非常明顯, HYPERLINK /view/395463.htm 壽命長、 HYPERLINK /view/400822.htm 光效高、 HYPERLINK /view/2121.htm 輻射低與功耗低。嚴(yán)格來說LED已是第四代光源的代表,LED因其高亮低熱、使用壽命長、無毒環(huán)保等優(yōu)點,被稱為是21世紀(jì)最有發(fā)展前景的綠色照明光源。近幾年來LED的制造工藝不斷進(jìn)步,在原有的材料基礎(chǔ)上也相繼開發(fā)并應(yīng)用了一些新的半導(dǎo)體發(fā)光材料,如熒光粉以及氮化物晶體等這類物質(zhì)。同時超高亮度的LED

36、進(jìn)展也有了很大的突破,發(fā)光效率比最早一代的LED提高了近1000倍,色度方面也已覆蓋了所有可見光的顏色,值得一提的是超高亮度白光LED的出現(xiàn),這極大可能地促成LED的應(yīng)用領(lǐng)域拓展至低耗高光照明市場。 本設(shè)計利用不同顏色的LED指示不同的煙霧濃度報警,綠色表示濃度在上限之內(nèi),紅色表示超出上限值。圖3.5燈光提示電路3.5.2 聲音報警電路蜂鳴器是一種結(jié)構(gòu)單一的電子響音器,使用直流電壓供電,廣泛應(yīng)用于電子產(chǎn)品中作發(fā)聲器件。一般電路圖中常表示的“H”或“HA”指的就是蜂鳴器。蜂鳴器主要分為壓電式和電磁式兩種類型,其中壓電式占據(jù)了市場的大多數(shù)份額。壓電式蜂鳴器主要由壓電蜂鳴片、多諧振蕩器、阻抗匹配器及

37、外殼、共鳴箱等組成。多諧振蕩器由集成電路或晶體管構(gòu)成。接入1.5到15V 的直流工作電壓后,多諧振蕩器即可振動,輸出音頻信號可達(dá)1.5k至2.5kHZ,壓電蜂鳴片在阻抗匹配器的推動下發(fā)聲。常見的壓電蜂鳴片材料可由PZT或PMN兩種壓電陶瓷材料制成。陶瓷片的兩面鍍有銀電極,經(jīng)過極化跟老化處理之后,和不銹鋼片或黃銅片粘在一起。電磁式蜂鳴器由磁鐵、電磁線圈、振動膜片、振蕩器及外殼等五個部分組成。接上電源后,振蕩器的模擬音頻信號電流通過電磁線圈,并在線圈中產(chǎn)生磁場。振動膜片在線圈和磁鐵的疊加作用下就會發(fā)生周期性的振動。蜂鳴器驅(qū)動電路的驅(qū)動比較簡單,一般由三極管、蜂鳴器、限流電阻三個部分構(gòu)成。蜂鳴器是發(fā)

38、聲元件,在兩端施加直流電壓或者方波就可以發(fā)聲,一般有緣蜂鳴器使用方直流電源,無緣蜂鳴器使用方波。主要參數(shù)是尺寸、發(fā)聲方向、電壓、頻率、電流、驅(qū)動方式等。本設(shè)計使用的是有源蜂鳴器。三極管Q1有開關(guān)作用,其基極的低電平使三極管飽和導(dǎo)通,使蜂鳴器發(fā)聲;而基極高電平則使三極管關(guān)閉,使得蜂鳴器停止工作。蘭冰芯,蘭冰芯,諶海云,陳東,吉寧. 基于單片機(jī)的PM2.5測試儀的設(shè)計與實現(xiàn)J. 物聯(lián)網(wǎng)技術(shù). 2014(11)圖3.6 聲音報警電路3.6 負(fù)載控制電路電磁繼電器是一種由鐵芯、銜鐵、線圈、觸點以及簧片等組成的電子控制器件,在電路中常常起到保護(hù)、轉(zhuǎn)換、調(diào)節(jié)的作用。在線圈兩端加上一定的電壓后,線圈里就會產(chǎn)

39、生電流,也就會形成電磁效應(yīng),線圈就會產(chǎn)生電磁力,而銜鐵就會在電磁力的作用下和鐵芯吸合在一起,從而使得銜鐵的兩個觸點(一個動觸點,一個靜觸點)吸合。線圈斷開電源后,電磁力也會隨著電磁作用的消失而消失,銜鐵就會返回到初始的位置,使之前吸合的兩個觸點釋放。電磁繼電器正是通過這樣的原理才達(dá)到變換電路的通斷的目的。我們把在繼電器未通電時處于斷開時的靜觸點稱作常開觸點,處于接通時的靜觸點叫做常閉觸點。周志敏. 淺析繼電器的應(yīng)用選型J. 電氣開關(guān). 2003(02)大多數(shù)繼電器都會有兩個電路,一個是高壓工作電路和另一個是低壓控制電路。電路中繼電器室通過PNP型三極管驅(qū)動,當(dāng)閥值超過設(shè)定時,單片機(jī)周志敏. 淺

40、析繼電器的應(yīng)用選型J. 電氣開關(guān). 2003(02)圖3.7繼電器控制負(fù)載電路系統(tǒng)的軟件設(shè)計系統(tǒng)程序主要包括主程序模塊、顯示模塊、報警模塊和按鍵模塊等四大模塊4.1 主程序模塊主程序的主要功能是顯示當(dāng)前CO濃度數(shù)值、讀出并處理氣敏傳感器的檢測值,按鍵控制CO的濃度上限值,當(dāng)檢測的值超過上限時,蜂鳴器發(fā)聲報警。程序框圖如下: 圖4.1 主程序框圖顯示模塊顯示數(shù)據(jù)子程序的主要功能就是把檢測到濃度值經(jīng)由單片機(jī)處理完畢后顯示在液晶顯示屏上。程序框圖如下:圖4.2 顯示模塊框圖報警模塊報警子程序的主要功能是在一氧化碳濃度值超過設(shè)定上限值時,能夠使蜂鳴器發(fā)聲、警示燈發(fā)光,從而達(dá)到報警的目的。程序框圖如下:

41、圖4.3 報警模塊框圖按鍵模塊本設(shè)計中按鍵主要用于設(shè)置檢測的濃度上限值。當(dāng)按下按鍵1時,計入設(shè)置模式,按下按鍵2時,提高上限值;按下按鍵3時,降低上限值,再按下按鍵1,設(shè)置結(jié)束。按鍵模塊框圖如下:圖4.4 按鍵模塊框圖5 聯(lián)調(diào)與調(diào)試5.1 仿真調(diào)試在進(jìn)行實物的焊接之前,我們先在PC機(jī)上用軟件進(jìn)行了仿真測試。我在Proteus上做出了整個報警器的電路仿真圖,仿真圖如下:圖5.1 電路仿真圖5.2 實物調(diào)試 在仿真的時候,考慮到一氧化碳?xì)怏w是有毒氣體,我把報警閾值設(shè)定在了一個較低的濃度,濃度達(dá)到設(shè)定值時,報警器報警,液晶顯示器的上欄顯示的是環(huán)境一氧化碳濃度,下欄顯示的是設(shè)定的閾值濃度,界面如圖:圖

42、5.3 報警圖要模擬在正常情況下的報警器工作狀態(tài),我就將報警閾值設(shè)定在了一個比較高的值,要使模擬環(huán)境濃度低于閾值,先按下實物面板右下三個按鈕的上鍵,以進(jìn)入設(shè)定值設(shè)定步驟,中間的鍵是上調(diào)鍵,下方的鍵是下調(diào)鍵,調(diào)整完畢后開始運(yùn)行,界面如下:圖5.4 運(yùn)行圖6 總 結(jié)本設(shè)計從貼近實際的角度出發(fā),在要求不高的情況下基本完成了課題要求的檢測和報警兩項主要任務(wù)。當(dāng)然,在設(shè)計中,由于自身的水平有限和考慮不周,有些比較人性化和更高級一點的功能暫時無法添加,不過我會在以后的工作學(xué)習(xí)中逐步完善。經(jīng)過了近5個月的時間的準(zhǔn)備,我的畢業(yè)設(shè)計總算是完成了。在這段時間里,通過翻閱資料,我了解到了許多有關(guān)畢業(yè)設(shè)計的一些基礎(chǔ)知

43、識,也通過畢業(yè)設(shè)計去鞏固了大學(xué)四年的一些專業(yè)知識。同時,也是利用畢業(yè)設(shè)計這樣一個機(jī)會去把課本上所學(xué)到的知識完整地、正確地運(yùn)用到實際問題當(dāng)中。收集資料的同時,即是對所學(xué)知識的回顧和溫習(xí)。除此之外,遇到不懂的難點,翻閱資料也使得自己有更加開闊的視野。在整個畢業(yè)設(shè)計的過程中,我要感謝所有幫助過我的導(dǎo)師和同學(xué),是你們在我陷入困難的時候給我指點明津,讓我得以順利的完成畢業(yè)設(shè)計和論文的定稿。謝謝大家!參考文獻(xiàn)1 羅學(xué)恒. 單片機(jī)實踐與應(yīng)用M.北京:電子工業(yè)出版社,20102 朱越. 低成本可燃性氣體泄漏報警器J. 電子設(shè)計工程. 2010(06)3 趙新民. 模數(shù)轉(zhuǎn)換器與P之接口(續(xù))J. 電測與儀表.

44、1983(05)4 瞿生輝,馮毛官單片機(jī)原理與應(yīng)用M西安:西安電子科技大學(xué)出版社,19895 周正,卜麗,陳明. - 信息與電腦(理論版)- 20116 冉莉,王民. 基于單片機(jī)的液晶顯示模塊硬軟件設(shè)計開發(fā)J. 科技廣場. 2011(05)7 劉鑫;才智, 2010年31期附 錄附錄一(原理圖)附錄二(PCB圖)附錄三(焊接圖)附錄四(程序)/程序頭函數(shù)#include /顯示函數(shù)#include /宏定義#define uint unsigned int #define uchar unsigned char#define Data_ADC0809 P1 /管腳聲明sbit LED_R= P

45、22;/紅燈sbit LED_G= P20;/綠燈sbit FENG = P25;/蜂鳴器sbit san=P34; /風(fēng)扇控制(選配)/ADC0809sbit ST=P33;sbit EOC=P36;sbit OE=P32;/按鍵sbit Key1=P26; /設(shè)置鍵sbit Key2=P27; /加按鍵sbit Key3=P37; /減按鍵bit bdata flag; /報警標(biāo)志位uchar set; /設(shè)置狀態(tài)/函數(shù)聲明extern uchar ADC0809();extern void Key();/酒精含量變量uchar temp=0;uchar WARNING=25; /報警值v

46、oid init() /初始化函數(shù)TMOD=0 x01; /工作方式 TL0=0 xb0; TH0=0 x3c; /賦初值(12MHz晶振的50ms) EA=1; /打開中斷總開關(guān)ET0=1; /打開中斷允許開關(guān) TR0=1; /打開定時器開關(guān)void main() /主函數(shù)Init1602();/初始化顯示init(); /初始化定時器while(1) /進(jìn)入循環(huán)temp=ADC0809(); /讀取酒精濃度值if(set=0) /只有在非設(shè)置狀態(tài)時,Display_1602(temp,WARNING); /才刷新顯示實時濃度值if(tempWARNING&set=0) /非設(shè)置時當(dāng)濃度值大于報警值時flag=1; /報警標(biāo)志位置1Key(); /掃描按鍵/ADC0809讀取信息uchar ADC0809()uchar temp_=0 x00;/初始化高阻太OE=0;/轉(zhuǎn)化初始化ST=0;/開始轉(zhuǎn)換ST=1;ST=0;/外部中斷等待AD轉(zhuǎn)換結(jié)束while(EOC=0)/讀取轉(zhuǎn)換的AD值OE=1;temp

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論