版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)
文檔簡介
1、學(xué)生:XXX指導(dǎo)教師:XXX內(nèi)容摘要:關(guān)鍵詞: IStreet light controller design and productionAbstract: a Keywords: .II目錄前言 . 11 選題及前期調(diào)研.11.1 路燈控制器簡介. 11.2 路燈控制器特點及應(yīng)用.11.3 選題依據(jù).11.4 設(shè)計目標(biāo)和內(nèi)容. 12 方案選擇與論證.12.1 方案一:模擬電路與數(shù)字電路組合.12.2 方案二:模擬電路與可編程邏輯器件組合. 22.3 方案三:模擬電路與單片機(jī)組合.32.4 VHDL語言及Quartus 軟件簡介.42.4.1 VHDL語言簡介.42.4.2 Quartus
2、軟件簡介.52.5 數(shù)碼管和EDA實驗箱簡介 . 62.5.1 數(shù)碼管簡介.62.5.2 EDA實驗箱簡介. 63 總體方案設(shè)計和單元模塊設(shè)計.63.1 總體系統(tǒng)結(jié)構(gòu)圖. 63.2 單元模塊設(shè)計. 73.2.1 直流穩(wěn)壓電源模塊. 73.2.2 三端穩(wěn)壓器介紹.8III3.2.3 三極管小信號放大電路模塊.83.2.4 三極管開關(guān)電路及控制電路模塊. 93.2.5 電磁式繼電器介紹.104 結(jié)束語.12附錄.13參考文獻(xiàn).14IV前言伴隨著科學(xué)技術(shù)的發(fā)展,人類社會的進(jìn)步。越來越多的電子產(chǎn)品不斷涌現(xiàn),而且電子產(chǎn)品的體積越來越小。并且功能大,效率高,能耗低。我們設(shè)計的產(chǎn)品 AD PWM 信號,使外
3、部電路簡單;運(yùn)用DS1302時鐘芯片保證了時間的實時顯示,還運(yùn)用了 NE555 構(gòu)成的多諧振蕩器與紅外發(fā)射二極管外設(shè)電路;顯示電路我們采用1602 液晶顯示屏,是我的設(shè)計更加人性化。并且在我們的設(shè)計制作中充分考慮了環(huán)保的問題,我們運(yùn)用的輔助器件就是剩下的廢料。1 選題及前期調(diào)研1.1 路燈控制器簡介隨著社會的發(fā)展,城市人口的不斷增加,城市建設(shè)規(guī)模的擴(kuò)大化。為完善城市的基礎(chǔ)設(shè)施建設(shè)和諧、安全的城市人居環(huán)境、美化城市,路燈控制器的設(shè)計要求不斷提高?,F(xiàn)在市場上生產(chǎn)路燈控制器的生產(chǎn)廠家眾多,控制器功能齊全,智能化程度比較高,路燈控制器的類型也層也不窮。例如,路燈太陽能控制器、智能路燈節(jié)能控制柜、路燈節(jié)
4、電控制柜、路燈節(jié)能電器等一系列的路燈控制器。路燈控制器集電磁技術(shù)、智能化控制技術(shù)、數(shù)據(jù)控制技術(shù)于一體,在可控和平緩的方式下智能調(diào)節(jié),路燈控制器實現(xiàn)公共照明系統(tǒng)的工作電流與亮度需求的理想結(jié)合,達(dá)到節(jié)電和優(yōu)化供電目的,路燈控制器節(jié)能率可高達(dá) 20%-40%,對用電系統(tǒng)的保護(hù)作用可使其壽命延長 3-4 倍。路燈控制器主要采用優(yōu)質(zhì)、高性能元器件,且極少運(yùn)用活動的元器件,保證了極高的產(chǎn)品工作安全性,因而確保為用戶單位提供更安全、可靠和更優(yōu)性能的產(chǎn)品服務(wù)。路燈控制器現(xiàn)有兩種類型,室內(nèi)型:安裝在室內(nèi)照明控制柜下端;戶外型:可按照用戶要求進(jìn)行安裝,放置在不銹鋼的機(jī)柜里。其中光控型路燈控制器廣泛應(yīng)用于城市建設(shè),
5、光控型路燈控制器都開啟和關(guān)閉都是通過采集自然光強(qiáng)弱的變化轉(zhuǎn)化成電壓電流的變化控制路燈的亮滅,具有自動控制的功能,能最大效率的節(jié)約電能而且在恰當(dāng)時候開啟,給行人提供方便。11.2 路燈控制器特點及應(yīng)用2現(xiàn)代路燈控制器具有的特點:采用先進(jìn)的微處理芯片,高可靠性、誤差小、低成本、穩(wěn)定性強(qiáng),具有斷電數(shù)據(jù)保存,時鐘不間斷工作,無需更換電池,維持時鐘運(yùn)行十年以上;采用數(shù)碼管準(zhǔn)確顯示路燈一次連續(xù)開啟的時間和路燈總共的開啟次數(shù);抗干擾能力強(qiáng),能抵御從電網(wǎng)直接輸入幅值達(dá)脈沖;大功率繼電器輸出,可接 220 伏或 380 伏接觸器,控制穩(wěn)定,使用壽命長,體積小,安裝簡單。250 伏的干擾路燈控制器廣泛應(yīng)用于市政道
6、路、高速公路、橋梁、隧道、園林、碼頭、觀光景燈、體育廣場、游樂場所、廣告燈箱等公共照明環(huán)境;路燈控制器適用的燈具類型:高壓鈉燈、低壓鈉燈、金屬鹵化物燈、高熒光燈等所有氣體放電式照明燈具。1.3 選題依據(jù)路燈控制器的設(shè)計與制作從理論意義來說可以將本人所學(xué)的知識系統(tǒng)的聯(lián)系起來形成一條主線,選擇此題目可以復(fù)習(xí)模擬電子技術(shù)、數(shù)字電路技術(shù)、EDA 技術(shù)、單片機(jī)技術(shù)、可編程邏輯器件等相關(guān)知識的作用;本次設(shè)計我采用模擬電路和軟件編程相結(jié)合能或全智能化,而且實現(xiàn)電子產(chǎn)品智能化很多都是在程序為主要實現(xiàn)方法,例如,采用 語言等編寫程序,可以在很大程度上節(jié)約成本,提高產(chǎn)品的智能化程度。設(shè)計要求采集自然光照控制路燈的
7、開啟和停止,這不但能實現(xiàn)自動化控制而且還能合理利用電能,實現(xiàn)資源的優(yōu)化配制,且對我本人而言設(shè)計難易程度適中。從實際來說,路燈控制器在我們的生活中應(yīng)用十分廣泛,尤其在街道兩旁,當(dāng)我們遠(yuǎn)望去到處是燈火輝煌,在現(xiàn)代生活中隨著人民生活水平的提高,路燈控制器的設(shè)計要求和技術(shù)含量也不斷提高,所以選擇控制器的設(shè)計與制作可以培養(yǎng)本人的設(shè)計思維,調(diào)動學(xué)習(xí)積極性,實踐過程中可以起到練習(xí)故障查找、處里能力,練習(xí)焊接技術(shù)。1.4 設(shè)計目標(biāo)和內(nèi)容 Quartus 開發(fā)平臺對時、分、秒、分頻、計數(shù)、動態(tài)掃描顯示各模塊進(jìn)行編程和仿真驗證,路燈控制器的設(shè)計與制作分兩個部分:采光控制部分和計數(shù)(包括路燈當(dāng)前一次的連續(xù)開啟時間和
8、路燈的開啟次數(shù)的計數(shù))顯示輸出部分。然后在將兩部分整合在一起就完成路燈控制器的設(shè)計,通過采光、光電轉(zhuǎn)換控制部分輸入控制信號,計數(shù)顯示輸出部分就能正確顯示當(dāng)前的控制狀態(tài)和輸出狀態(tài)。12 方案選擇與論證2.1 方案一:模擬電路與數(shù)字電路組合模擬電路部分包括直流穩(wěn)壓電源、光電轉(zhuǎn)換、小信號放大、三極管開關(guān)電路,直流穩(wěn)壓電源又包括降壓、整流、濾波、穩(wěn)壓四部分,即可得到直流穩(wěn)定12V電壓;數(shù)字電路主要有秒肪沖、計數(shù)、譯碼七段數(shù)碼管顯示。利用模擬電路提供 12V 直流穩(wěn)定電壓,和使能信號;數(shù)字電路負(fù)責(zé)顯示路燈當(dāng)前一次的連續(xù)開啟時間和統(tǒng)計路燈的開啟次數(shù)。模擬電路部分用到的元件及作用:三極管 9013 用于小信
9、號放大和開關(guān),光敏電阻完成光電輪換,普通碳膜電阻根據(jù)三極管需要提供適當(dāng)?shù)钠秒妷汉透綦x、保護(hù)作用,繼電器在開關(guān)三極管的控制下用于接通和斷開路燈回路。數(shù)字部分用到的元件:555 定時器,74LS160 計數(shù)器、74LS48 譯碼器、七段顯示數(shù)碼管,其中 555 定時器產(chǎn)生秒脈沖,用于驅(qū)動 74LS161 計數(shù),74LS48 負(fù)責(zé)譯碼將 74LS161 計數(shù)的 4 位二進(jìn)數(shù)譯碼成 7位二進(jìn)制以驅(qū)動七段數(shù)碼管正常工作。模擬電路與數(shù)字電路組合總體看來具有設(shè)計思路清晰、價格成本低、穩(wěn)定性高、易現(xiàn)實,但電路結(jié)構(gòu)相對復(fù)雜,需要購買許多電子元件和集成塊,整體電路調(diào)試?yán)щy,設(shè)計框圖如圖 2.1-1所示:220V
10、2.2 方案二:模擬電路與可編程邏輯器件(FPGA)組合方案二中模擬電路部分和方案一完全相同,在此不在贅述,重點介紹 FPGA 器件的設(shè)計部分,目前 FPGA 主要有 ALTERA 公司的 ACEX、MAX 系列、XILINX 的 Virtex_4 系列等,其中 ALTERA 公司的 ACEX 系列 FPGA 在教學(xué)中用得較多;FPGA 采用了邏輯單元陣列LCA 內(nèi)部包括可配置邏輯模塊 CLB、輸出輸入模塊 IOB 和內(nèi)部連線三個部分。FPGA 的編2程無須專用的FPGA FPGA,不同的編程數(shù)據(jù),可以產(chǎn)生不同的電路功能。因此,F(xiàn)PGA的使用非常靈活,F(xiàn)PGA芯片是小批量系統(tǒng)提高系統(tǒng)集成度、可
11、靠性的最佳選擇之一。在本次設(shè)計中FPGA采用的是ALTERA公司MAX II系列EPM240T100C5N開發(fā)板,開發(fā)板內(nèi)部是人為將實際需要的電路功能用VHDL語言語法描述出來,然后能過下載線將和統(tǒng)計路燈的開啟次數(shù),完成這一功能將是由不同功能的程序模塊組合在一起。在滿足結(jié)果從芯片的輸出端口輸出,驅(qū)動后序電路工作。方案二除模擬電路和方案一相同外還具有自身的特點:此方案采用EPM240T100C5N際功能分析,將功能程序化和VHDL程序編寫能力,對設(shè)計人員的技術(shù)要求比方案一的高,使電路具有比較好的穩(wěn)定性和靈敏度,缺點是購EPM240T100C5N開發(fā)板成本較高,這樣一來就使得整個設(shè)計的成本增加。方
12、案二的設(shè)計框圖如圖2.2-1所示:直壓FPGA繼電器源小信號放大三極管開關(guān)數(shù)碼管路燈圖 2.3 方案三:模擬電路與單片機(jī)組合方案三中模擬電路部分主要負(fù)責(zé)提供直流穩(wěn)壓電源,在此不在贅述。單片機(jī)是指集成在一個芯片上集成了一臺微行計算機(jī)所需的CPU等,也被稱為微控制器(Microcontroler),具有體積小、價格便宜、性能穩(wěn)定、通用性好、易生產(chǎn)、抗干擾能力強(qiáng),使用靈活等特點。單片機(jī)集成芯片常用的有 AT89系列、MCS-51系列等,其正常工作條件必須滿足5V供電、時鐘電路、復(fù)信電路正常,單片機(jī)主要應(yīng)用于智能儀表、工業(yè)實時控3制、機(jī)電一體化、通信接口、家用電器等,單片機(jī)程序的編寫采用 C 語言或匯
13、編語言編寫,在外圍電路接上所需的外部元件和設(shè)備在觸發(fā)信號到來時開始工作。方案三的設(shè)計原理:電源電路、時鐘電路、復(fù)位電路分別給單片機(jī)提供 5V電源、工作時鐘脈沖、復(fù)位信號以滿足單片機(jī)的正常工作條件,自然光的強(qiáng)弱經(jīng)光敏電阻轉(zhuǎn)換成電信號的大小,在經(jīng)過 A/D 變換將模擬的電信號變?yōu)閿?shù)字信號通過引腳加到單片機(jī)內(nèi)部,單片機(jī)動作后輸出電路包括路燈一次開啟的時間,路燈總共開啟的次數(shù)和路燈的通斷,方案三總體來說具有整體電路簡單、節(jié)約成本、穩(wěn)定性高、對觸發(fā)條件感應(yīng)靈敏、所需要的外部元件少,但程序編寫過程復(fù)雜難懂,方案三的設(shè)計框圖如圖 2.3-1所示:VHDL語言是一種用于電路設(shè)計的高級語言,出現(xiàn)在80年代的后期
14、,最初是由美國國防部開發(fā)出來供美軍用來提高設(shè)計的可靠性和縮減開發(fā)周期的一種使用范圍較小的HighSpeedIntegratedCircuitHardwareDescriptionLanguage)翻譯成中文就是超高速集成電路硬件描述語言,因此它的應(yīng)用主要是應(yīng)用在數(shù)字電路的設(shè)計中。目前,它的應(yīng)用多數(shù)是用在FPGA/CPLD/EPLD的設(shè)計主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)、行為、功能和接口。除了含有許多具有硬件特征的語句外,VHDL 的語言形式和描述風(fēng)格語句語法是十分類似于一般的計算機(jī)高級語塊或一個系統(tǒng))分成外部(或稱可視部分4實體的內(nèi)部功能和算法完成部分。在對一個設(shè)計實體定義了外部界面后,一旦其內(nèi)部開
15、發(fā)完成后,其他的設(shè)計就可以直接調(diào)用這個實體。VHDL述,從而大大簡化了硬件設(shè)計任務(wù),提高了設(shè)計效率和可靠性。同時還具有與具體硬件電路無關(guān)和與設(shè)計平臺無關(guān)的特性,并且具有良好的電路行為描述為系統(tǒng)描述能力,并在語言易讀性和層次化結(jié)構(gòu)化設(shè)計方面,表現(xiàn)了強(qiáng)大的生命力和應(yīng)用潛力。VHDL設(shè)計流程如下: 創(chuàng)建工程,使用New Project Wizard工具選項創(chuàng)建設(shè)計工程。 程序編輯編譯,利用Quartus 工具的文本或圖形編輯器將設(shè)計者的設(shè)計意圖用文本或圖形方式表達(dá)出來,完成設(shè)計描述后即可通過編譯器進(jìn)行排錯編譯,變成特定的文本格式。 仿真,利用產(chǎn)生的網(wǎng)表文件進(jìn)行功能仿真,以便了解設(shè)計描述與設(shè)計意圖的一
16、致性。 調(diào)試,在仿真過程中若程序出現(xiàn)語法上的錯誤,程序?qū)⒉粫ㄟ^仿真同時會有相就的錯誤提示,此時就需要人為的根據(jù)錯誤提示修改程序,使程序正確并通過仿真。 引腳邦定,引腳邦定是為了將程序下載到硬件電路并使電路正常工作得到我們希望的結(jié)果,此過程要依據(jù)集成芯片的內(nèi)總引腳與外部電路實現(xiàn)配對。 下載,如果以上的所有過程都沒有發(fā)現(xiàn)問題,就可以通過FPGA/CPLD下載電纜載入目標(biāo)芯片中將程序下載到實際電路中。 硬件仿真與測試。2.4.2 Quartus 軟件簡介QuartusII 是Altera公司的綜合性PLD以及AHDL(AlteraHardwareDescriptionLanguage)等多種設(shè)計輸
17、入形式,內(nèi)嵌自有PLDII除了可以使用Tcl腳本完成設(shè)計流程外,提供了完善的用戶圖形界面設(shè)計方式。具有運(yùn)行速度快,界面統(tǒng)一,功能集中,易學(xué)易用等特點。此外,Quartus II 通過和DSP Builder工具與Matlab/Simulink相結(jié)合,可以方便地實現(xiàn)各種DSP應(yīng)用系統(tǒng);支持Altera的片上可編程系統(tǒng)(SOPC)開發(fā),集系統(tǒng)級Quartus軟件完成VHDL程序設(shè)計的一般步驟: 建立工程:filenewproject wizard next(輸入工程文件的路徑、工5程文件名字、頂層文件名字)finish。 建立文件:filenewVHDL/block diagram。 acex1k
18、ep1k30tc144-3okprocessingstart compilation。 程序波形仿真:filenewotherfilevectorwaveform file(雙擊空白處)noderfinderfilteralllist(加入端口)okok設(shè)置輸入信號processingstart simulation。 引腳綁定:assignmentassignment editorpin雙擊new輸入引腳編號start compilation。 程序下載:toolprogammerhandware setupadd handwarebyteblasterMV or byteblaster c
19、losestart。2.5 數(shù)碼管和EDA實驗箱簡介2.5.1 數(shù)碼管簡介1位、2位、4位等等數(shù)碼管;數(shù)碼管由8個發(fā)光二極管構(gòu)成,可以用來顯示數(shù)字、字符等。它在家電及工業(yè)控制中有著廣泛的應(yīng)用,例如用來顯示溫度、數(shù)量、重量、日期、時間等,具有顯示醒目、直觀的、成本低等優(yōu)點,數(shù)碼管實符號和引腳。其中7個發(fā)光二極管組成“8字形狀,加上小數(shù)點總共8個二極管,這些段分別用a、b、c、d、e、f、g、dp來表示,COM引腳為公共端,用來控制數(shù)碼管顯示的打開或關(guān)閉,即起到使能作用,數(shù)碼管內(nèi)部結(jié)構(gòu)。 到2.5.2 EDA實驗箱簡介ACEX1K30TC144-3實驗箱采用貼片元件、插接、集成芯片等元件組成,接口
20、豐富,功能強(qiáng)大,不但具備一般開發(fā)板的普通接口如串口、按鍵、指示燈等,還設(shè)計了高級接口如接口等,并且專門設(shè)計了實驗接口區(qū),使用者可根據(jù)自己的需要向本系6采取了巧妙設(shè)計,為用戶提供了實惠的價格完成了一些高級功能,是市面上性價比較高的產(chǎn)品。ACEX1K30TC144-3 FPGA實驗箱結(jié)構(gòu)3 總體方案設(shè)計和單元模塊設(shè)計3.1 總體系統(tǒng)結(jié)構(gòu)圖根據(jù)本人對所學(xué)知識的理解、掌握、應(yīng)用程度和本人現(xiàn)能提供的電子元件或設(shè)計中需要用到的器材,此次畢業(yè)設(shè)計我選擇方案二完成此次設(shè)計的任務(wù)和要求,總體系統(tǒng)結(jié)構(gòu)框圖如圖3.1-1所示:小信號大光電轉(zhuǎn)換FPGA路燈開關(guān)控制計數(shù)顯示頂層圖圖 3.2 單元模塊設(shè)計3.2.1 直流
21、穩(wěn)壓電源模塊直流穩(wěn)壓電源是一種將220V直流穩(wěn)壓電源由降壓電路、整流電路、濾波電路、穩(wěn)壓電路四部分構(gòu)成,電路組成框圖如圖3.2-1所示:降壓電路整流電路濾波電路穩(wěn)壓電路負(fù)載圖 降壓電路的作用是將220V的交流電壓變成需要大小的交流電壓,整流電路的用用7濾成平滑直流;穩(wěn)壓電路則完成輸出平滑穩(wěn)定的直流電壓供給負(fù)載電路。特性將大小和方向隨時間變化的低壓交流電變成只有大小變化而無方向變化的脈動直紋波小等特點。濾波電路常用的有電容濾波、電感濾波和復(fù)合濾波電路,電容濾波是利的原理,而復(fù)合濾波電路則是將兩者都包括進(jìn)來,具有還好的濾波效果。穩(wěn)壓電路是不論電網(wǎng)電壓或負(fù)載發(fā)生變化時能基本保持輸出電壓穩(wěn)定不變。二極
22、管的平均電流為流過負(fù)載的總電流,因負(fù)載的阻值 不確定,所以 暫定。綜上所推理直流穩(wěn)壓電路中各元件的取如下:T1用輸出電流較大的E型電源變壓器如DBIN4001IN4007C2選用耐壓值量為1000uF或2200uF,C5選用耐壓值2550V,容量為220 uF即可,C6、C7為濾除電流中高頻成分選用瓷片電容或,獨石電容,云母電容等均可。3.2.2 三端穩(wěn)壓器介紹三端穩(wěn)壓器,主要有兩種,一種輸出電壓是固定的,稱為固定輸出三端穩(wěn)壓器,另一種輸出電壓是可調(diào)的,稱為可調(diào)輸出三端穩(wěn)壓器,在線性集成穩(wěn)壓器中,由于三端穩(wěn)壓器只有三個引出端子,具有外接元件少,使用方便,性能穩(wěn)定,價格低廉等優(yōu)點,因而得到廣泛應(yīng)
23、用,固定三端穩(wěn)壓器的圖形如右圖所示。固定三端穩(wěn)壓器的通用產(chǎn)品有78系列(正電源)和79由具體型號中的后面兩個數(shù)字代表,有等檔次。輸出電流以L表示表示1.5A,如78L05表求5V 0.1A,另外一般在三端穩(wěn)壓器的輸入輸出端接一個二極管, 用來防止輸入端短路時,輸出端存儲的電荷通過穩(wěn)壓器,而損壞器件。的三個端子分別為輸入端、輸出端、調(diào)整端。應(yīng)用特點是在調(diào)整端外接一個固定電阻和一個電位器,調(diào)節(jié)電位器就可實現(xiàn)輸出電壓調(diào)節(jié),得到所需要的輸出直流電壓,一般輸出電流不小于5mA,輸入電壓范圍在340V之間,輸出電壓可調(diào)范圍為1.2537V.3.2.3 三極管小信號放大電路模塊電阻增大,從而改變加在光敏電阻
24、上的電壓,完成光電轉(zhuǎn)換過程。三極管小信號放大電由三極管和電阻構(gòu)成,采用分壓穩(wěn)定偏置電路.8為使電路處于放大狀態(tài)必須滿足:必須使放大器件處于合適的直流工作狀態(tài),即就保證三極管的發(fā)射結(jié)正向偏置,集電極反向偏置。 必須保證放大電路有合適的交流工作條件,輸入信號能夠加到放大管的輸入端,放大電路的電壓或電流能夠盡可能的送至負(fù)載。 必須合理選擇放大電路元件的參數(shù)。因電路要工作在放大狀態(tài),根據(jù)使電路處于放大狀態(tài)的3個條件可知三極管的UcUbUe,當(dāng)光敏電阻自身的阻值為 14K 左右時電路處于放大狀態(tài),所以人為規(guī)定R2=100K,則放大電路中各元件的值可由以下公式算出:三極管處于放大時Ube 0.7V,R=R
25、5/R2=15K可得R1UbUe,當(dāng)光敏電阻的阻值為14K左右時三極管放大電路觸發(fā),處于放大狀態(tài)。3.2.4 三極管開關(guān)電路及控制電路模塊從小信號放大電路輸出的控制電壓控制三極管Q2Q2工作在開關(guān)狀態(tài)才能起到控制作用,所以要求小信號放大電路的輸出電壓、電流幅值較大,因為從IO1輸出的電壓和電流經(jīng)過R4電阻加到三極管Q2的基極從而起到控制Q2導(dǎo)通和截止的作用。當(dāng)Q2導(dǎo)通時繼電器的線圈同時上電,根據(jù)電磁感應(yīng)原理將電壓轉(zhuǎn)化成磁能吸合銜鐵,繼電器的常開觸點吸合,常閉觸點斷開,使路燈供電電路形成回路,路燈發(fā)光起到照明作用,同時en和countclk輸出5V控制電壓,反之路燈熄滅,en和countclk輸
26、出D3與K2與K1看作是一個電感線圈,當(dāng)突然斷開時線圈中的電流不能突變而線圈自身還會產(chǎn)生一個很大的電動勢會擊穿Q2等元件,因此在并上D3、D5后K1、K2斷電瞬間產(chǎn)生的感應(yīng)電動勢經(jīng)過D3、D5又重新加到K1、K2兩端中和掉K1、K2自身產(chǎn)生的電動勢,起到保護(hù)電路的作用。此模塊中12V的直流電壓先經(jīng)過三端穩(wěn)壓器U1穩(wěn)壓后,再經(jīng)過C8、C10濾波后得到5V的直流電壓,考濾到電容的儲能的作用會增大設(shè)計結(jié)果的誤差,所以將5V直流電源放在控制回路之前。其中 en 作為統(tǒng)計路燈當(dāng)前一次的連續(xù)開啟時間的使能信號,countclk起保護(hù)三端穩(wěn)壓器的作用,以免電容充電電壓過高形成灌電流損壞三端穩(wěn)壓器,三極管開關(guān)
27、電路及控制9電路如圖 3.2.4-1 所示:3.2.5 電磁式繼電器介紹電磁式繼電器一般由鐵芯、線圈、銜鐵、觸點簧片等組成的。只要在線圈兩端加上一定的電壓,線圈中就會流過一定的電流,從而產(chǎn)生電磁效應(yīng),銜鐵就會在電磁力吸引的作用下克服返回彈簧的拉力吸向鐵芯,從而帶動銜鐵的動觸點與靜觸(常閉觸點)點(常開觸點)吸合。當(dāng)線圈斷電后,電磁的吸力也隨之消失,銜鐵就會在彈簧的反作用力返回原來的位置,使動觸點與原來的靜觸點吸合。這樣吸合、釋放,從而達(dá)到了在電路中的導(dǎo)通、切斷的目的。對于繼電器的“常開、常閉”觸點,可以這樣來區(qū)分:繼電電磁式繼電器主要參數(shù): 額定工作電壓:是指繼電器正常工作時線圈所需要的電壓,
28、也就是控制電路的控制電壓。根據(jù)繼電器的型號不同,可以是交流電壓,也可以是直流電壓。 直流電阻:是指繼電器中線圈的直流電阻,可以通過萬能表測量。 吸合電流:是指繼電器能夠產(chǎn)生吸合動作的最小電流。在正常使用時,給定的電流必須略大于吸合電流,這樣繼電器才能穩(wěn)定地工作。而對于線圈所加的工作電壓,一般不要超過額定工作電壓的 1.5 倍,否則會產(chǎn)生較大的電流而把線圈燒毀。 釋放電流:是指繼電器產(chǎn)生釋放動作的最大電流。當(dāng)繼電器吸合狀態(tài)的電流減小到一定程度時,繼電器就會恢復(fù)到未通電的釋放狀態(tài)。這時的電流遠(yuǎn)遠(yuǎn)小于吸合電流。 0,而常開觸點與動點的阻值就為無窮大。由此可以區(qū)別出那個是常閉觸點,那個是常開觸點。 圈
29、是否存在著開路現(xiàn)象。 測量吸合電壓和吸合電流:找來可調(diào)穩(wěn)壓電源和電流表,給繼電器輸入一組電壓,且在供電回路中串入電流表進(jìn)行監(jiān)測。慢慢調(diào)高電源電壓,聽到繼電器吸合聲時,記下該吸合電壓和吸合電流。 測量釋放電壓和釋放電流:當(dāng)繼電器發(fā)生吸合后,再逐漸降低供電電壓,當(dāng)聽到繼電器再次發(fā)生釋放聲音時,記下此時的電壓和電流即為釋放電壓和釋放電流,繼電器的電路符號、實物及接法如圖 3.2.5-1 所示:4 結(jié)束語惑,特別是在電路仿真和程序仿真。在此本人舉兩例加以說明:第一,在分頻程序波形仿真時遇到很大困難,先首是在符合 VHDL 語言語法之下按照本人邏輯思維編寫出程序并通過編譯,本以為就萬事大吉了,可在波形仿
30、真結(jié)束后卻不見波形出來,經(jīng)過反復(fù)檢查程序語法,改進(jìn)設(shè)計思維,查找相關(guān)資料,修改Quartus軟件參數(shù)等,歷盡千幸萬苦終于有了波形。第二,在三極管小信號放大電路設(shè)計中各元器件參數(shù)的計算,先首用論理公試按照設(shè)計要求計算出各元件的值,然后在Multisim7 仿真軟件中仿真,其仿真結(jié)果與理論計算值存在很大差異,經(jīng)過調(diào)整軟件參數(shù),尋問老師等,最終使得仿真結(jié)果與理論值近似,在解決困難和疑惑中的艱辛難以用語言表達(dá)出來。通過此次設(shè)計,我深深地感觸到基礎(chǔ)知識的重要性,任何細(xì)節(jié)都離不開基礎(chǔ)知識的指導(dǎo),設(shè)計中任何細(xì)節(jié)都不能忽略,它很可能就是導(dǎo)致你設(shè)計成敗的關(guān)鍵。設(shè)計需要有相關(guān)扎實的基礎(chǔ)知識了,還要有一定的實際動手
31、能力。此外,通過此次設(shè)計鞏固和拓展我以前所學(xué)的知識,明白自己有許多方面的缺點和不足。這次設(shè)計給我最大的啟示:態(tài)度決定高度,細(xì)節(jié)決定成敗日月如梭,轉(zhuǎn)眼就要告別我的大學(xué)生涯,閉上眼,3 年的大學(xué)生活歷歷在目。3 年前剛踏進(jìn)校門的那一刻,我還是一個不知世故的懵懂少年,3 年的大學(xué)生活,讓我收獲知識,收獲成績,更讓我懂得了如何做人。感謝我的父母,在我的成長和求學(xué)過程中,父母不僅在經(jīng)濟(jì)上承受著巨大的負(fù)擔(dān),時父母依依不舍的情形與囑咐,皆使我刻苦銘心。我的父母,你們幸苦了。感謝我的指導(dǎo)老師 XX 老師,是你傳授我知識,給我?guī)椭凸膭?,教會我如何做人、如何做事,謝謝你,你們幸苦了。感謝所有的同學(xué),三年我們一起
32、學(xué)習(xí),平時各位同學(xué)的喜、怒、哀、樂都令我一生難忘,現(xiàn)在回想起來,認(rèn)識各們同學(xué)都是我一生的榮幸,在未來的工作和生活中,我期望我們?nèi)詫⒁宦吠?。最后,就讓我們把這充實而又充滿意義的3 年放進(jìn)回憶。眼前,一條新的道路已在12附錄2:分頻程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport(clk1k:in std_logic;clk20H:buffer std_logic;clk1H);:out std_logicend;architecture feature
33、of fenpin isSignal count:std_logic_vector(5 downto 0);signal count2:std_logic_vector(4 downto 0);beginprocess(clk1k,count)beginif clk1kevent and clk1k=1 thencount=count+1;if count=110010 thenclk20H=1;count=000000;process(clk20H)beginif clk20Hevent and clk20H=1 thencount2=count2+1;then clk1h=1; count2=00000;clk1h=0;if count2=10100else14end if;end if;end process;end;秒計數(shù)程序(分計數(shù)、時計數(shù)計數(shù)原理一樣在此只以秒計數(shù)程序為例)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 房地產(chǎn)業(yè)安全生產(chǎn)管理辦法
- 動物救助捐贈管理計劃
- 體育賽事巴士租賃合同
- 神經(jīng)外科人才聘用合同模板
- 2022年大學(xué)森林資源專業(yè)大學(xué)物理下冊月考試卷C卷-附解析
- 2022年大學(xué)生物科學(xué)專業(yè)大學(xué)物理二月考試題C卷-附解析
- 25樓地面采暖工程施工合同
- 2022年大學(xué)數(shù)學(xué)專業(yè)大學(xué)物理二期末考試試卷D卷-附解析
- 2022年大學(xué)口腔醫(yī)學(xué)專業(yè)大學(xué)物理二期末考試試卷-附解析
- 新生兒濕疹護(hù)理健康宣教
- DB4501-T 0008-2023 化妝品行業(yè)放心消費單位創(chuàng)建規(guī)范
- 鍋爐水壓試驗報告
- 低壓開關(guān)柜出廠檢驗報告-5
- 2“現(xiàn)代性”與“現(xiàn)代化”
- 基于PLC的水箱溫度控制系統(tǒng)
- 第二課堂活動記錄表
- 消防救援-消防火場供水
- 植物嫁接實驗報告
- 學(xué)生營養(yǎng)餐滿意度調(diào)查表
- 上海高考語文知識點歸納完整版(精編版)
- 大班繪本閱讀《糊涂熊隊劃不快》
評論
0/150
提交評論