VHDL在高速圖像采集系統(tǒng)中的應(yīng)用設(shè)計_第1頁
VHDL在高速圖像采集系統(tǒng)中的應(yīng)用設(shè)計_第2頁
VHDL在高速圖像采集系統(tǒng)中的應(yīng)用設(shè)計_第3頁
VHDL在高速圖像采集系統(tǒng)中的應(yīng)用設(shè)計_第4頁
VHDL在高速圖像采集系統(tǒng)中的應(yīng)用設(shè)計_第5頁
已閱讀5頁,還剩3頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

VHDL在高速圖像采集系統(tǒng)中的應(yīng)用設(shè)計VHDL在高速圖像采集系統(tǒng)中的應(yīng)用設(shè)計8/8袂PAGE8肇肁羄蒁肅蚅蟻螆膆蝕蒞莁螅羆蒀莈芆艿螆芁芃袃腿薆莆袈膇節(jié)羅膄節(jié)膈莆蟻莄膂蒂蒞肁蒆蒆芀螅肂膄薇蝿羈袀袀膅羂薂薄袂芆羀襖薆羋芄膀薁芄羀蒃羇膈螂蒁莀蒂聿莇肄膈蒄荿腿肅腿羆蒅肈羂薀膂莃艿薅袆羈蚄袁羈裊荿膄芇袈肁肂蝕膃葿肇蒄螈袃聿蒈蒁蕿蚆襖蠆芁芀蒁羃蕿芅VHDL在高速圖像采集系統(tǒng)中的應(yīng)用設(shè)計若是對您有幫助!感謝談?wù)撆c分享

VHDL在高速圖像采集系統(tǒng)中的應(yīng)用設(shè)計

導(dǎo)讀:本文VHDL在高速圖像采集系統(tǒng)中的應(yīng)用設(shè)計,僅供參照,如

果感覺很不錯,歡迎談?wù)摵头窒怼?/p>

大綱:介紹高速圖像采集系統(tǒng)的硬件結(jié)構(gòu)及工作原理,表達FPGA在

圖像采集與數(shù)據(jù)儲藏部分的VHDL模塊設(shè)計,給出采集同步模塊的

VHDL源程序。

要點詞:圖像采集FPGAVHDLPCI

現(xiàn)代化生產(chǎn)和科學(xué)研究對圖像采集系統(tǒng)的要求日益提高。傳統(tǒng)的圖像采集卡速度慢、辦理功能簡單,不能夠很好地滿足特別要求,因此,我們成立了高速圖像采集系統(tǒng)。它主要包括圖像采集模塊、圖像初級辦理模塊以及總線接口模塊等。這些模塊是在FPGA中利用VHDL

編程實現(xiàn)的。高速圖像采集系統(tǒng)主要用于視覺檢測。視覺檢測中圖像辦理的特點是:基層圖像辦理數(shù)據(jù)量大,算法簡單;高層圖像辦理算法復(fù)雜,數(shù)據(jù)量大,算法簡單;高層圖像辦理算法復(fù)雜,數(shù)據(jù)量小。

對于圖像基層辦理,我們在高速圖像采集系統(tǒng)中用FPGA實現(xiàn),采用VHDL編寫圖像辦理算法;對于圖像高層辦理,由計算機軟件實現(xiàn)。由于VHDL設(shè)計靈便、編程方便,易于在FPGA中實現(xiàn)并行運算和流水線結(jié)構(gòu);因此,高速圖像采集系統(tǒng)的速度快、適應(yīng)性好。

系統(tǒng)結(jié)構(gòu)及工作原理

高速圖像采集系統(tǒng)結(jié)構(gòu)模型如圖1所示。它的輸入為標準的模擬若是對您有幫助!感謝談?wù)撆c分享

視頻信號,用可編程視頻輸入辦理器SAA7111進行視頻信號辦理。

SAA7111內(nèi)部集成了富強的圖像色度、亮度辦理功能以及多種輸出

模式;有32個工作寄存器,在系統(tǒng)復(fù)位時,必定經(jīng)過FPGA1的IC2

總線模塊對其進行初始化。SAA7111輸出的圖像數(shù)據(jù)經(jīng)過FPGA芯

片進行采集與辦理,采用Xilinx公司的XC2S150芯片。XC2S150

是SpartanII系列產(chǎn)品。它基于Virtex系列的結(jié)構(gòu),支持所有相關(guān)的特點,擁有很高的性能價格比;采集與辦理的圖像數(shù)據(jù)在傳達到計算

機從前,儲藏在高速SRAMCY7C1049V33中,SRAM的容量為512K×8bit與。計算機平時采用PCI總線,由S5933來實現(xiàn)。S5933是一種功能富強的PCI控制器,吻合PCI2.1規(guī)范。

系統(tǒng)復(fù)位完成FPGA程序加載后,先由FPGA1的I2C總線模塊

對SAA7111初始化,初始化結(jié)束后等待采集圖像的命令。初始化成功后,SAA7111實時辦理模擬視頻信號,輸出亮度和色度信號,同時輸出點時鐘信號,行、場同步信號,行、場參照信號,奇偶場標志

信號等。本系統(tǒng)使用灰度圖像,沒有使用色度信號,因此數(shù)據(jù)線為8

位。

系統(tǒng)采集圖像的命令由計算機發(fā)出。采集命令經(jīng)過PCI總線傳輸

到FPGA1,啟動FPGA1的采集同步模塊。采集同步模塊發(fā)出采集標志信號,采集一幀圖像,經(jīng)過寫數(shù)據(jù)模塊產(chǎn)生寫地址和寫信號將數(shù)據(jù)儲藏到SRAM1中。采集結(jié)束時,采集標志信號撤消,采集同步模塊復(fù)位,等待下一次采集命令。采集標志信號撤消時,F(xiàn)PGA1開始讀若是對您有幫助!感謝談?wù)撆c分享

取SRAM1中的圖像信息,這是經(jīng)過讀數(shù)據(jù)模塊生產(chǎn)讀地址和讀信號來實現(xiàn)的。FPGA1將1幀圖像數(shù)據(jù)進行噪聲去除后,儲藏到SRAM2

中,并發(fā)信號給FPGA2。FPGA2經(jīng)過FPGA1讀取SRAM2中數(shù)據(jù),經(jīng)過邊緣檢測辦理后儲藏到SRAM3中。FPGA2辦理完1幀圖像數(shù)據(jù)后,將SRAM3中的圖像信息讀出傳達給S5933,爾后經(jīng)過PCI總線傳達到計算機中。

在圖像采集過程中,我們使用的是512×512的圖像,即一幀圖像采集512行,奇數(shù)場和偶數(shù)場各采集256行,每一行采集512個像素。因此,需要經(jīng)過行延時模塊進行行選擇,濾掉無效行,經(jīng)過像素延時模塊進行像素選擇,以選擇需要的像素。

2圖像采集與數(shù)據(jù)儲藏部分的VHDL設(shè)計

系統(tǒng)中FPGA的設(shè)計是用VHDL編程實現(xiàn)的。VHDL是一種應(yīng)

用特別廣泛的硬件描述語言,它的語言覆蓋面廣,描述能力強;能夠

描述最抽象的系統(tǒng)級,也能夠描述最精確的邏輯級、門級。

本系統(tǒng)是采用結(jié)構(gòu)化VHDL進行設(shè)計的,整個圖像采集部分是

一個VHDL語言文件,包括幾個BLOCK語句。2片F(xiàn)PGA芯片個有

不同樣的程序,其中FPGA1既包括圖像采集部分,又包括圖像辦理與數(shù)據(jù)儲藏部分;FPGA2為圖像辦理與數(shù)據(jù)儲藏部分以及PCI接口控制部分。2片F(xiàn)PGA時程序加載采用串行主/從模式。FPGA1采用串行主模式,F(xiàn)PGA2采用串行從模式,由FPGA1從SPROM中讀取配置數(shù)據(jù),完成自己配置,并完成對FPGA2的配置。圖像采集與數(shù)

據(jù)傳達部分的VHDL模塊主要包括、Wr.vhd、若是對您有幫助!感謝談?wù)撆c分享

等,各模塊之間經(jīng)過信號相

互聯(lián)系。下面分別介紹各模塊實現(xiàn)的功能。(限于篇幅,僅給出采集

同步模塊的程序。)

它是圖像采集部分的一個塊語句,輸入輸出信號為:

pcicon0-IN,啟動采集圖像信號;

vref-IN,場參照信號;

rts0-IN,奇偶場標志信號;

sig_frame-OUT,采集同步輸出信號,高電平有效,用于圖像

采集和總線管理模塊;

sig_fiel

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論