基于單片機(jī)的電機(jī)控制系統(tǒng)的設(shè)計(jì)畢業(yè)設(shè)計(jì)任務(wù)書(shū)(完整資料)_第1頁(yè)
基于單片機(jī)的電機(jī)控制系統(tǒng)的設(shè)計(jì)畢業(yè)設(shè)計(jì)任務(wù)書(shū)(完整資料)_第2頁(yè)
基于單片機(jī)的電機(jī)控制系統(tǒng)的設(shè)計(jì)畢業(yè)設(shè)計(jì)任務(wù)書(shū)(完整資料)_第3頁(yè)
基于單片機(jī)的電機(jī)控制系統(tǒng)的設(shè)計(jì)畢業(yè)設(shè)計(jì)任務(wù)書(shū)(完整資料)_第4頁(yè)
基于單片機(jī)的電機(jī)控制系統(tǒng)的設(shè)計(jì)畢業(yè)設(shè)計(jì)任務(wù)書(shū)(完整資料)_第5頁(yè)
已閱讀5頁(yè),還剩116頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

基于單片機(jī)的電機(jī)控制系統(tǒng)的設(shè)計(jì)畢業(yè)設(shè)計(jì)任務(wù)書(shū)(完整資料)(可以直接使用,可編輯優(yōu)秀版資料,歡迎下載)

基于單片機(jī)的電機(jī)控制系統(tǒng)的設(shè)計(jì)畢業(yè)設(shè)計(jì)任務(wù)書(shū)(完整資料)(可以直接使用,可編輯優(yōu)秀版資料,歡迎下載)畢業(yè)設(shè)計(jì)(論文)任務(wù)書(shū)題目:基于單片機(jī)的電機(jī)控制系統(tǒng)的設(shè)計(jì)系名信息工程系專業(yè)電子信息工程學(xué)號(hào)學(xué)生姓名xxx指導(dǎo)教師職稱講師年月日一、原始依據(jù)(包括設(shè)計(jì)或論文的工作基礎(chǔ)、研究條件、應(yīng)用環(huán)境、工作目的等.)1、工作基礎(chǔ)根據(jù)本課題所在研究領(lǐng)域的研究成果,運(yùn)用豐富的網(wǎng)絡(luò)資源,將在大學(xué)本科階段所學(xué)過(guò)的電機(jī)控制、C語(yǔ)言程序設(shè)計(jì)和單片機(jī)的相關(guān)知識(shí)進(jìn)行匯總和融合,學(xué)生具有足夠的知識(shí)儲(chǔ)備和資源來(lái)完成該畢業(yè)設(shè)計(jì)。2、研究條件熟悉電機(jī)控制的工作原理,以及本課題中涉及到的單片機(jī)等課程的相關(guān)知識(shí)。本設(shè)計(jì)中使用Proteus仿真軟件進(jìn)行軟硬件的虛擬仿真,該仿真軟件是一種電路分析與實(shí)物仿真的電子設(shè)計(jì)自動(dòng)化軟件,運(yùn)行于Windows操作系統(tǒng)上,有豐富的元器件及虛擬儀器儀表,可以實(shí)現(xiàn)數(shù)字、模擬電路、微控制器系統(tǒng)仿真以及PCB設(shè)計(jì)等功能。運(yùn)行于Windows操作系統(tǒng)上,有豐富的元器件及虛擬儀器儀表,可以實(shí)現(xiàn)數(shù)字、模擬電路、微控制器系統(tǒng)仿真以及PCB設(shè)計(jì)等功能.3、應(yīng)用環(huán)境步進(jìn)電機(jī)是一種將數(shù)字信號(hào)直接轉(zhuǎn)換成角位移或線位移的控制驅(qū)動(dòng)元件,具有快速起動(dòng)和停止的特點(diǎn).因?yàn)椴竭M(jìn)電動(dòng)機(jī)組成的控制系統(tǒng)結(jié)構(gòu)簡(jiǎn)單,價(jià)格低廉,性能上能滿足工業(yè)控制的基本要求,廣泛地應(yīng)用于手工業(yè)自動(dòng)控制、數(shù)控機(jī)床、組合機(jī)床、機(jī)器人、計(jì)算機(jī)外圍設(shè)備、以及各種可控機(jī)械工具等,具有一定的實(shí)用價(jià)值。4、工作目的通過(guò)畢業(yè)設(shè)計(jì)要求學(xué)會(huì)使用Proteus仿真軟件進(jìn)行單片機(jī)的硬件設(shè)計(jì)和軟件仿真,掌握C語(yǔ)言的編寫(xiě)程序的方法和思想.將所學(xué)過(guò)的本科階段的相關(guān)知識(shí)融會(huì)貫通,掌握使用KeilμVision系列軟件對(duì)單片機(jī)程序的編譯及調(diào)試。了解電機(jī)的工作原理,設(shè)計(jì)出簡(jiǎn)易的電機(jī)控制系統(tǒng).鍛煉學(xué)生對(duì)知識(shí)的綜合應(yīng)用能力、對(duì)課題相關(guān)領(lǐng)域已有知識(shí)的查找和自學(xué)的能力,以及實(shí)際的動(dòng)手能力.二、參考文獻(xiàn)[1]從宏壽.電子設(shè)計(jì)自動(dòng)化:Proteus在電子電路與51單片機(jī)中的應(yīng)用[M]。西安電子科技大學(xué)出版社,2012.[2]樓然苗,李光飛.單片機(jī)課程設(shè)計(jì)指導(dǎo).第2版[M]。北京航空航天大學(xué)出版社,2012.[3]楊新軍.步進(jìn)電機(jī)程序控制[J].英才高職論壇.2006,02(1):52-55。[4]王玉琳.一種新型步進(jìn)電機(jī)驅(qū)動(dòng)器[J]。機(jī)電一體化.2004,10(4):33—37。[5]彭樹(shù)生。用PC機(jī)控制步進(jìn)電機(jī)的兩種方法[J].電子技術(shù)[J].1995,2(12).[6]曹航.用微機(jī)直接控制的步進(jìn)驅(qū)動(dòng)系統(tǒng)[J]。低壓電器。1998(8).[7]房玉明,杭柏林.基于單片機(jī)的步進(jìn)電機(jī)開(kāi)環(huán)控制系統(tǒng)[J]。電機(jī)與控制應(yīng)用,2006。33(4):61—64.[8]伍馮浩,謝陳躍等.Proteus與Keil在單片機(jī)開(kāi)放性實(shí)驗(yàn)中的應(yīng)用[J].電子測(cè)量技術(shù)。2008.6(31):100—107.[9]江一,朱凌,申仲濤.異步電動(dòng)機(jī)直接轉(zhuǎn)矩控制仿真研究[J].華北電力大學(xué)學(xué)報(bào).2003(1):10-13.[10]代啟化.Proteus在單片機(jī)電路系統(tǒng)設(shè)計(jì)中的應(yīng)用[J].自動(dòng)化與儀器儀表,2006。11(6):84—87.[11]曹洪奎,馬瑩瑩?;赑roteus單片機(jī)系統(tǒng)設(shè)計(jì)與仿真[J].遼寧工學(xué)院學(xué)報(bào).2007.6(3):11—15.[12]劉文秀.單片機(jī)應(yīng)用系統(tǒng)仿真的研究[J].現(xiàn)代電子技術(shù).2005。4(8):156—157.[13]DepenbrockM.Directself-control(DSC)ofinverter—fedinductionmachine[J].IEEETransonPE.1988.3(4):420—429。三、設(shè)計(jì)(研究)內(nèi)容和要求(包括設(shè)計(jì)或研究?jī)?nèi)容、主要指標(biāo)與技術(shù)參數(shù),并根據(jù)課題性質(zhì)對(duì)學(xué)生提出具體要求。)步進(jìn)電機(jī)是一種將數(shù)字信號(hào)直接轉(zhuǎn)換成角位移或線位移的控制驅(qū)動(dòng)元件。本設(shè)計(jì)要求學(xué)生能通過(guò)學(xué)習(xí)單片機(jī)以及電機(jī)的相關(guān)知識(shí),實(shí)現(xiàn)以下功能:實(shí)現(xiàn)電機(jī)正轉(zhuǎn);實(shí)現(xiàn)電機(jī)反轉(zhuǎn);實(shí)現(xiàn)電機(jī)先正傳后反轉(zhuǎn);實(shí)現(xiàn)電機(jī)轉(zhuǎn)速變化(最少三個(gè)不同的轉(zhuǎn)速);電機(jī)可以人為控制啟動(dòng)和停止;電機(jī)不同的功能之間使用鍵盤(pán)控制轉(zhuǎn)換.指導(dǎo)教師(簽字)年月日審題小組組長(zhǎng)(簽字)年月日基于單片機(jī)的步進(jìn)電機(jī)控制系統(tǒng)設(shè)計(jì)摘要:步進(jìn)電動(dòng)機(jī)由于用其組成的開(kāi)環(huán)系統(tǒng)既簡(jiǎn)單、廉價(jià),又非??尚校虼嗽诖蛴C(jī)等辦公自動(dòng)化設(shè)備以及各種控制裝置等眾多領(lǐng)域有著極其廣泛的應(yīng)用。本文介紹的是一種基于單片機(jī)的步進(jìn)電機(jī)的系統(tǒng)設(shè)計(jì),用匯編語(yǔ)言編寫(xiě)出電機(jī)的正轉(zhuǎn)、反轉(zhuǎn)、加速、減速、停止程序,通過(guò)單片機(jī)、電機(jī)的驅(qū)動(dòng)芯片ULN2004以及相應(yīng)的按鍵實(shí)現(xiàn)以上功能,并且步進(jìn)電機(jī)的工作狀態(tài)要用相應(yīng)的發(fā)光二極管顯示出來(lái)。本文內(nèi)容介紹了步進(jìn)電機(jī)以及單片機(jī)原理、該系統(tǒng)的硬件電路、程序組成,同時(shí)對(duì)軟、硬件進(jìn)行了調(diào)試,同時(shí)介紹了調(diào)試過(guò)程中出現(xiàn)的問(wèn)題以及解決問(wèn)題的方法。該設(shè)計(jì)具有思路明確、可靠性高、穩(wěn)定性強(qiáng)等特點(diǎn),通過(guò)調(diào)試實(shí)現(xiàn)了上述功能。關(guān)鍵詞:步進(jìn)電機(jī);脈寬調(diào)制;驅(qū)動(dòng)機(jī)構(gòu);單片機(jī);轉(zhuǎn)動(dòng)DesignofTheControlSystemofStep-motorAbstract:Theopen—loopsystemwhichiscomposedbystep-motorissimple,cheapandverypractical,sothereareverywiderangeofapplicationsinprintersandotherofficeautomationequipmentandvariouscontroldevices,andmanyotherfields。Thisarticledescribesonedesignofstep—motorsystembasedonmicrocontroller.Theprogramofthepreparationofamotor,reverse,speedup,slowdown,stopiswrittenbycompilelanguage.Theabovefunctionsarerealizedthroughthemicrocontroller,motordriverchipULN2004andcorrespondkey,andtheworkstateofsteppermotorisdiaplayedthroughthelight-emittingdiode。Thisarticleintroducestheprincipleofsteppermotorandsingle—chipmicrocomputer,thesystemhardwarecircuit,theprogramcomponents,whilesoftwareandhardwareforthedebugging,atthesametimeintroducestheproblemswhichareappearedinthedebuggingprocessandthesolutionsoftheproblems。Thedesignhastheadvantagesofclear,highreliability,strongstability,etc。,andtheabove—mentionedfunctionsarerealizedthroughthedebugging。。KeyWords:Steppermotor;Pulse—widthmodulated;drivingmechanism;singlechip;rotation目錄HYPERLINK\l”_Toc231933227”序言1第1章緒論2HYPERLINK\l”_Toc231933229"1.1課題研究的目的和意義21.2國(guó)內(nèi)外研究概況2_Toc231933232"第2章步進(jìn)電機(jī)與單片機(jī)簡(jiǎn)介42。1。1步進(jìn)電機(jī)概述4HYPERLINK\l”_Toc231933235"步進(jìn)電機(jī)的工作原理6_Toc231933237"2。2步進(jìn)電機(jī)驅(qū)動(dòng)系統(tǒng)介紹92.2.2步進(jìn)電機(jī)繞組的電氣特性10HYPERLINK\l”_Toc231933240"2。3單片機(jī)原理112。3.1單片機(jī)原理概述11HYPERLINK\l”_Toc231933242"單片機(jī)的應(yīng)用系統(tǒng)122。3.3AT89C51簡(jiǎn)介13_Toc231933250”3.1系統(tǒng)整圖17HYPERLINK\l”_Toc231933245”3.2電源部分18HYPERLINK\l”_Toc231933246"3.3按鍵部分18HYPERLINK\l”_Toc231933247”3.4驅(qū)動(dòng)部分19HYPERLINK\l”_Toc231933248"3.5狀態(tài)指示部分20HYPERLINK\l”_Toc231933249"3。6時(shí)鐘部分20_Toc231933253”4。2系統(tǒng)主程序21_Toc231933255”4.4前進(jìn)部分22HYPERLINK\l”_Toc231933256"4。5后退部分23HYPERLINK\l”_Toc231933257”4.6加速部分24HYPERLINK\l”_Toc231933258”4.7減速部分25第5章系統(tǒng)的調(diào)試與檢測(cè)26HYPERLINK\l”_Toc231933261”5。1程序編譯時(shí)的錯(cuò)誤與解決方法26HYPERLINK\l”_Toc231933262”5。2LM7812輸出電壓錯(cuò)誤與解決方法265.3步進(jìn)電機(jī)轉(zhuǎn)動(dòng)錯(cuò)誤及解決方法26HYPERLINK\l”_Toc231933264"5.4結(jié)論與展望27致謝29附錄1:源程序清單30HYPERLINK\l”_Toc231933268"附錄2:英文資料及其中文翻譯35基于單片機(jī)的步進(jìn)電機(jī)控制系統(tǒng)設(shè)計(jì)序言步進(jìn)電機(jī)作為執(zhí)行元件,是機(jī)電一體化的關(guān)鍵產(chǎn)品之一,廣泛應(yīng)用在各種自動(dòng)化控制系統(tǒng)中。它是用電脈沖信號(hào)進(jìn)行控制,將電脈沖信號(hào)轉(zhuǎn)換成相應(yīng)的角位移或線位移的微電動(dòng)機(jī),它最突出的優(yōu)點(diǎn)是可以在寬廣的頻率范圍內(nèi)通過(guò)改變脈沖頻率來(lái)實(shí)現(xiàn)調(diào)速,快速起停、正反轉(zhuǎn)控制及制動(dòng)等,并且用其組成的開(kāi)環(huán)系統(tǒng)既簡(jiǎn)單、廉價(jià),又非??尚?,因此在打印機(jī)等辦公自動(dòng)化設(shè)備以及各種控制裝置等眾多領(lǐng)域有著極其廣泛的應(yīng)用。隨著微電子和計(jì)算機(jī)技術(shù)的發(fā)展,步進(jìn)電動(dòng)機(jī)的需求量與日俱增,研制步進(jìn)電機(jī)驅(qū)動(dòng)器及其控制系統(tǒng)具有十分重要的意義。本次畢業(yè)設(shè)計(jì)選用的步進(jìn)電機(jī)是四相步進(jìn)電機(jī),通過(guò)軟件和硬件的結(jié)合實(shí)現(xiàn)步進(jìn)電機(jī)的啟停、正轉(zhuǎn)、反轉(zhuǎn)、加速、減速功能,并且步進(jìn)電機(jī)所處的狀態(tài)用相應(yīng)的發(fā)光二極管顯示。主要通過(guò)三大塊來(lái)設(shè)計(jì),包括驅(qū)動(dòng)電路的設(shè)計(jì)、狀態(tài)顯示部分和按鍵部分是設(shè)計(jì)。可以通過(guò)控制脈沖個(gè)數(shù)來(lái)控制角位移量,從而精確地控制轉(zhuǎn)動(dòng)角度;同時(shí)可以通過(guò)控制脈沖頻率來(lái)控制電機(jī)轉(zhuǎn)動(dòng)的角度和加速度,從而達(dá)到調(diào)速的目的。本次論文分為六章,序言簡(jiǎn)要介紹了此次設(shè)計(jì)中有關(guān)步進(jìn)電機(jī)及其驅(qū)動(dòng)器的相關(guān)概念.第1章是緒論,主要探討了步進(jìn)電機(jī)的研究背景和本論文的主要研究?jī)?nèi)容;第2章步進(jìn)電機(jī)與單片機(jī)的原理;第3章系統(tǒng)整體硬件結(jié)構(gòu);第4章系統(tǒng)的軟件設(shè)計(jì);第5章系統(tǒng)的調(diào)試與檢測(cè);最后是參考文獻(xiàn)、附錄和致謝。通過(guò)七章內(nèi)容的描述,詳細(xì)介紹了本次畢業(yè)設(shè)計(jì)的內(nèi)容、方法、以及設(shè)計(jì)中遇到的問(wèn)題和解決問(wèn)題的途徑。第1章緒論1.1課題研究的目的和意義步進(jìn)電動(dòng)機(jī)是用電脈沖信號(hào)進(jìn)行控制,將電脈沖信號(hào)轉(zhuǎn)換成相應(yīng)的角位移或線位移的微電動(dòng)機(jī),它最突出的優(yōu)點(diǎn)是可以在寬廣的頻率范圍內(nèi)通過(guò)改變脈沖頻率來(lái)實(shí)現(xiàn)調(diào)速,快速起停、正反轉(zhuǎn)控制及制動(dòng)等,并且用其組成的開(kāi)環(huán)系統(tǒng)既簡(jiǎn)單、廉價(jià),又非??尚?,因此在打印機(jī)等辦公自動(dòng)化設(shè)備以及各種控制裝置等眾多領(lǐng)域有著極其廣泛的應(yīng)用.隨著微電子和計(jì)算機(jī)技術(shù)的發(fā)展,步進(jìn)電動(dòng)機(jī)的需求量與日俱增,研制步進(jìn)電機(jī)驅(qū)動(dòng)器及其控制系統(tǒng)具有十分重要的意義。1。2國(guó)內(nèi)外研究概況步進(jìn)電機(jī)是國(guó)外發(fā)明的。中國(guó)在文化大革命中已經(jīng)生產(chǎn)和應(yīng)用,例如江蘇、浙江、北京、南京、四川都生產(chǎn),而且都在各行業(yè)使用,驅(qū)動(dòng)電路所有半導(dǎo)體器件都是完全國(guó)產(chǎn)化的,當(dāng)時(shí)是全分立元器件構(gòu)成的邏輯運(yùn)算電路,還有電容耦合輸入的計(jì)數(shù)器,觸發(fā)器,環(huán)形分配器。國(guó)外在大功率的工業(yè)設(shè)備驅(qū)動(dòng)上,目前基本不使用大扭矩步進(jìn)電動(dòng)機(jī),因?yàn)閺尿?qū)動(dòng)電路的成本,效率,噪音,加速度,絕對(duì)速度,系統(tǒng)慣量與最大扭矩比來(lái)比較,比較不劃算,還是用直流電動(dòng)機(jī),加電動(dòng)機(jī)編碼器整體技術(shù)和經(jīng)濟(jì)指標(biāo)高。一些少數(shù)高級(jí)的應(yīng)用,就用空心轉(zhuǎn)杯電機(jī),交流電機(jī)。國(guó)外在小功率的場(chǎng)合,還使用步進(jìn)電機(jī),例如一些工業(yè)器材,工業(yè)生產(chǎn)裝備,打印機(jī),復(fù)印件,速印機(jī),銀行自動(dòng)柜員機(jī).國(guó)外用許多現(xiàn)代的手段將步進(jìn)電機(jī)排擠出驅(qū)動(dòng)應(yīng)用,除了前面提到的旋轉(zhuǎn)編碼器,打印機(jī)還使用光電編碼帶或感應(yīng)編碼帶配合直流電動(dòng)機(jī),實(shí)現(xiàn)閉環(huán)直線位移控制.國(guó)內(nèi)過(guò)去是用大力矩步進(jìn)電動(dòng)機(jī)實(shí)現(xiàn)機(jī)床數(shù)控,有實(shí)力的公司現(xiàn)在也采用交流電動(dòng)機(jī)驅(qū)動(dòng)數(shù)控機(jī)床,在驅(qū)動(dòng)設(shè)備的主要差距,是國(guó)外對(duì)交流電動(dòng)機(jī)的控制理論與工程分析和應(yīng)用能力強(qiáng),先進(jìn)的控制理論作為軟件,寫(xiě)在控制器內(nèi)部??偟膩?lái)說(shuō),步進(jìn)電機(jī)是一種簡(jiǎn)易的開(kāi)環(huán)控制,對(duì)運(yùn)用者的要求低,不適合在大功率的場(chǎng)合使用。在衛(wèi)星、雷達(dá)等應(yīng)用場(chǎng)合,中國(guó)在文化大革命后期,就生產(chǎn)了力矩電機(jī),就生產(chǎn)了環(huán)形力矩電機(jī),在高品質(zhì)的控制場(chǎng)合,有時(shí)還不能使用步進(jìn)電機(jī)。步進(jìn)電機(jī)的細(xì)分控制,在改革開(kāi)放初期,國(guó)內(nèi)就已經(jīng)基本掌握,這與交流電動(dòng)機(jī)的矢量控制相比,難度要低得多。1。3論文的主要研究?jī)?nèi)容本論文所選的步進(jìn)電機(jī)是四相步進(jìn)電機(jī),采用的方法是利用單片機(jī)控制步進(jìn)電機(jī)的驅(qū)動(dòng)。步進(jìn)電機(jī)是一種將電脈沖轉(zhuǎn)化為角位移的執(zhí)行機(jī)構(gòu).當(dāng)步進(jìn)驅(qū)動(dòng)器接收到一個(gè)脈沖信號(hào),它就驅(qū)動(dòng)步進(jìn)電機(jī)按設(shè)定的方向轉(zhuǎn)動(dòng)一個(gè)固定的角度(稱為“步距角”),它的旋轉(zhuǎn)是以固定的角度一步一步運(yùn)行的.可以通過(guò)控制脈沖個(gè)數(shù)來(lái)控制角位移量,從而達(dá)到準(zhǔn)確定位的目的;同時(shí)可以通過(guò)控制脈沖頻率來(lái)控制電機(jī)轉(zhuǎn)動(dòng)的速度和加速度,從而達(dá)到調(diào)速的目的。本次畢業(yè)設(shè)計(jì)就是通過(guò)改變脈沖頻率來(lái)調(diào)節(jié)步進(jìn)電機(jī)的速度的,并且通過(guò)數(shù)碼管顯示其轉(zhuǎn)速的級(jí)別.另外通過(guò)單片機(jī)實(shí)現(xiàn)它的正反轉(zhuǎn),步進(jìn)電機(jī)可以作為一種控制用的特種電機(jī),利用其沒(méi)有積累誤差(精度為100%)的特點(diǎn),廣泛應(yīng)用于各種開(kāi)環(huán)控制。第2章步進(jìn)電機(jī)與單片機(jī)簡(jiǎn)介2.1步進(jìn)電機(jī)介紹2。1.1步進(jìn)電機(jī)概述步進(jìn)電機(jī)是將電脈沖信號(hào)轉(zhuǎn)變?yōu)榻俏灰苹蚓€位移的開(kāi)環(huán)控制元件.在非超載的情況下,電機(jī)的轉(zhuǎn)速、停止的位置只取決于脈沖信號(hào)的頻率和脈沖數(shù),而不受負(fù)載變化的影響,即給電機(jī)加一個(gè)脈沖信號(hào),電機(jī)則轉(zhuǎn)過(guò)一個(gè)步距角.這一線性關(guān)系的存在,加上步進(jìn)電機(jī)只有周期性的誤差而無(wú)累積誤差等特點(diǎn).使得在速度、位置等控制領(lǐng)域用步進(jìn)電機(jī)來(lái)控制變的非常的簡(jiǎn)單.正常情況下,步進(jìn)電機(jī)轉(zhuǎn)過(guò)的總角度和輸入的脈沖數(shù)成正比;連續(xù)輸入一定頻率的脈沖時(shí),電動(dòng)機(jī)的轉(zhuǎn)速與輸入脈沖的頻率保持嚴(yán)格的對(duì)應(yīng)關(guān)系,不受電壓波動(dòng)和負(fù)載變化的影響.由于步進(jìn)電動(dòng)機(jī)能直接接收數(shù)字量的輸入,所以特別適合于微機(jī)控制。本次畢業(yè)設(shè)計(jì)采用的是步距角為1.8度的四相八拍永磁式步進(jìn)電機(jī).步進(jìn)電機(jī)的基本參數(shù):(一)步進(jìn)電機(jī)的靜態(tài)指標(biāo)術(shù)語(yǔ)1、相數(shù):產(chǎn)生不同對(duì)N、S磁場(chǎng)的激磁線圈對(duì)數(shù)。常用m表示。2、拍數(shù):完成一個(gè)磁場(chǎng)周期性變化所需脈沖數(shù)或?qū)щ姞顟B(tài)用n表示,或指電機(jī)轉(zhuǎn)過(guò)一個(gè)齒距角所需脈沖數(shù),以四相電機(jī)為例,有四相四拍運(yùn)行方式即AB—BC—CD-DA-AB,四相八拍運(yùn)行方式即

A-AB-B-BC—C-CD-D—DA-A。3、步距角:對(duì)應(yīng)一個(gè)脈沖信號(hào),電機(jī)轉(zhuǎn)子轉(zhuǎn)過(guò)的角位移用θ表示.θ=360度(轉(zhuǎn)子齒數(shù)*運(yùn)行拍數(shù)),以常規(guī)二、四相,轉(zhuǎn)子齒為50齒電機(jī)為例。四拍運(yùn)行時(shí)步距角為θ=360度/(50*4)=1.8度(俗稱整步),八拍運(yùn)行時(shí)步距角為θ=360度/(50*8)=0.9度(俗稱半步)。4、定位轉(zhuǎn)矩:電機(jī)在不通電狀態(tài)下,電機(jī)轉(zhuǎn)子自身的鎖定力矩(由磁場(chǎng)齒形的諧波以及機(jī)械誤差造成的)5、靜轉(zhuǎn)矩:電機(jī)在額定靜態(tài)電作用下,電機(jī)不作旋轉(zhuǎn)運(yùn)動(dòng)時(shí),電機(jī)轉(zhuǎn)軸的鎖定力矩。此力矩是衡量電機(jī)體積(幾何尺寸)的標(biāo)準(zhǔn),與驅(qū)動(dòng)電壓及驅(qū)動(dòng)電源等無(wú)關(guān)。雖然靜轉(zhuǎn)矩與電磁激磁安匝數(shù)成正比,與定齒轉(zhuǎn)子間的氣隙有關(guān),但過(guò)份采用減小氣隙,增加激磁安匝來(lái)提高靜力矩是不可取的,這樣會(huì)造成電機(jī)的發(fā)熱及機(jī)械噪音。(二)步進(jìn)電機(jī)動(dòng)態(tài)指標(biāo)及術(shù)語(yǔ):1、步距角精度:步進(jìn)電機(jī)每轉(zhuǎn)過(guò)一個(gè)步距角的實(shí)際值與理論值的誤差。用百分比表示:誤差/步距角*100%.不同運(yùn)行拍數(shù)其值不同,四拍運(yùn)行時(shí)應(yīng)在5%之內(nèi),八拍運(yùn)行時(shí)應(yīng)在15%以內(nèi)。2、失步:電機(jī)運(yùn)轉(zhuǎn)時(shí)運(yùn)轉(zhuǎn)的步數(shù),不等于理論上的步數(shù)。稱之為失步3、失調(diào)角:轉(zhuǎn)子齒軸線偏移定子齒軸線的角度,電機(jī)運(yùn)轉(zhuǎn)必存在失調(diào)角,由失調(diào)角產(chǎn)生的誤差,采用細(xì)分驅(qū)動(dòng)是不能解決的。4、最大空載起動(dòng)頻率:電機(jī)在某種驅(qū)動(dòng)形式、電壓及額定電流下,在不加負(fù)載的情況下,能夠直接起動(dòng)的最大頻率。5、最大空載的運(yùn)行頻率:電機(jī)在某種驅(qū)動(dòng)形式,電壓及額定電流下,電機(jī)不帶負(fù)載的最高轉(zhuǎn)速頻率.6、運(yùn)行矩頻特性:電機(jī)在某種測(cè)試條件下測(cè)得運(yùn)行中輸出力矩與頻率關(guān)系的曲線稱為運(yùn)行矩頻特性,這是電機(jī)諸多動(dòng)態(tài)曲線中最重要的,也是電機(jī)選擇的根本依據(jù).電機(jī)一旦選定,電機(jī)的靜力矩確定,而動(dòng)態(tài)力矩卻不然,電機(jī)的動(dòng)態(tài)力矩取決于電機(jī)運(yùn)行時(shí)的平均電流(而非靜態(tài)電流),平均電流越大,電機(jī)輸出力矩越大,即電機(jī)的頻率特性越硬。

要使平均電流大,盡可能提高驅(qū)動(dòng)電壓,使采用小電感大電流的電機(jī)。7、電機(jī)的共振點(diǎn):

步進(jìn)電機(jī)均有固定的共振區(qū)域,二、四相感應(yīng)子式步進(jìn)電機(jī)的共振區(qū)一般在180—250pps之間(步距角1。8度)或在400pps左右(步距角為0.9度),電機(jī)驅(qū)動(dòng)電壓越高,電機(jī)電流越大,負(fù)載越輕,電機(jī)體積越小,則共振區(qū)向上偏移,反之亦然,為使電機(jī)輸出電矩大,不失步和整個(gè)系統(tǒng)的噪音降低,一般工作點(diǎn)均應(yīng)偏移共振區(qū)較多。

8、電機(jī)正反轉(zhuǎn)控制:當(dāng)電機(jī)繞組通電時(shí)序?yàn)锳—AB—B—BC—C-CD-D—DA時(shí)為正轉(zhuǎn),通電時(shí)序?yàn)镈A-D—CD-C-BC—B—AB—A時(shí)為反轉(zhuǎn)。步進(jìn)電機(jī)的特征如下:1、一般步進(jìn)電機(jī)的精度為步進(jìn)角的3%-5%,且不積累。2、步進(jìn)電機(jī)外表允許的最高溫度。步進(jìn)電機(jī)溫度過(guò)高首先會(huì)使電機(jī)的磁性材料退磁,從而導(dǎo)致力矩下降乃至于失步,因此電機(jī)外表允許的最高溫度應(yīng)取決于不同電機(jī)磁性材料的退磁點(diǎn);一般來(lái)講,磁性材料的退磁點(diǎn)都在攝氏130度以上,有的甚至高達(dá)攝氏200度以上,所以步進(jìn)電機(jī)外表溫度在攝氏80-90度完全正常。3、步進(jìn)電機(jī)的力矩會(huì)隨轉(zhuǎn)速的升高而下降。當(dāng)步進(jìn)電機(jī)轉(zhuǎn)動(dòng)時(shí),電機(jī)各相繞組的電感將形成一個(gè)反向電動(dòng)勢(shì);頻率越高,反向電動(dòng)勢(shì)越大。在它的作用下,電機(jī)隨頻率(或速度)的增大而相電流減少,從而導(dǎo)致力矩下降.4、步進(jìn)電機(jī)低速時(shí)可以正常轉(zhuǎn)動(dòng),但若高于一定速度就無(wú)法啟動(dòng),并伴有嘯叫聲。步進(jìn)電機(jī)有一個(gè)技術(shù)參數(shù):空載啟動(dòng)頻率,即步進(jìn)電機(jī)在空載情況下能夠正常啟動(dòng)的脈沖頻率,如果脈沖頻率高于該值,電機(jī)不能正常啟動(dòng),可能發(fā)生丟步或堵轉(zhuǎn).在有負(fù)載的情況下,啟動(dòng)頻率應(yīng)更低。如果要使電機(jī)達(dá)到高速轉(zhuǎn)動(dòng),脈沖頻率應(yīng)該有加速過(guò)程,即啟動(dòng)頻率較低,然后按一定加速度升到所希望的高頻(電機(jī)轉(zhuǎn)速?gòu)牡退偕礁咚伲?。步進(jìn)電動(dòng)機(jī)以其顯著的特點(diǎn),在數(shù)字化制造時(shí)代發(fā)揮著重大的用途。伴隨著不同數(shù)字化技術(shù)的發(fā)展以及步進(jìn)電機(jī)本身技術(shù)的提高,步進(jìn)電機(jī)將會(huì)在更多的領(lǐng)域得到應(yīng)用。2。1.2步進(jìn)電機(jī)的工作原理步進(jìn)電機(jī)的工作就是步進(jìn)轉(zhuǎn)動(dòng),其功用是將脈沖電信號(hào)變換為相應(yīng)的角位移或是直線位移,就是給一個(gè)脈沖信號(hào),電動(dòng)機(jī)轉(zhuǎn)動(dòng)一個(gè)角度或是前進(jìn)一步.步進(jìn)電機(jī)的角位移量與脈沖數(shù)成正比,它的轉(zhuǎn)速與脈沖頻率(f)成正比,在非超載的情況下,電機(jī)的轉(zhuǎn)速、停止的位置只取決于脈沖信號(hào)的頻率和脈沖數(shù),而不受負(fù)載變化的影響,即給電機(jī)加一個(gè)脈沖信號(hào),電機(jī)則轉(zhuǎn)過(guò)一個(gè)步距角。如下所示的步進(jìn)電機(jī)為一四相步進(jìn)電機(jī),采用單極性直流電源供電。只要對(duì)步進(jìn)電機(jī)的各相繞組按合適的時(shí)序通電,就能使步進(jìn)電機(jī)步進(jìn)轉(zhuǎn)動(dòng)。圖1是該四相反應(yīng)式步進(jìn)電機(jī)工作原理示意圖.圖2—1

四相步進(jìn)電機(jī)步進(jìn)示意圖開(kāi)始時(shí),開(kāi)關(guān)SB接通電源,SA、SC、SD斷開(kāi),B相磁極和轉(zhuǎn)子0、3號(hào)齒對(duì)齊,同時(shí),轉(zhuǎn)子的1、4號(hào)齒就和C、D相繞組磁極產(chǎn)生錯(cuò)齒,2、5號(hào)齒就和D、A相繞組磁極產(chǎn)生錯(cuò)齒。當(dāng)開(kāi)關(guān)SC接通電源,SB、SA、SD斷開(kāi)時(shí),由于C相繞組的磁力線和1、4號(hào)齒之間磁力線的作用,使轉(zhuǎn)子轉(zhuǎn)動(dòng),1、4號(hào)齒和C相繞組的磁極對(duì)齊。而0、3號(hào)齒和A、B相繞組產(chǎn)生錯(cuò)齒,2、5號(hào)齒就和A、D相繞組磁極產(chǎn)生錯(cuò)齒。依次類推,A、B、C、D四相繞組輪流供電,則轉(zhuǎn)子會(huì)沿著A、B、C、D方向轉(zhuǎn)動(dòng)。單四拍、雙四拍與八拍工作方式的電源通電時(shí)序與波形分別如圖2—2所示:圖2-2步進(jìn)電機(jī)工作時(shí)序波形圖2。1。3步進(jìn)電機(jī)的分類與選擇現(xiàn)在比較常用的步進(jìn)電機(jī)包括反應(yīng)式步進(jìn)電機(jī)(VR)、永磁式步進(jìn)電機(jī)(PM)、混合式步進(jìn)電機(jī)(HB)和單相式步進(jìn)電機(jī)等。反應(yīng)式步進(jìn)電動(dòng)機(jī)采用高導(dǎo)磁材料構(gòu)成齒狀轉(zhuǎn)子和定子,其結(jié)構(gòu)簡(jiǎn)單,生產(chǎn)成本低,步距角可以做的相當(dāng)小,一般為三相,可實(shí)現(xiàn)大轉(zhuǎn)矩輸出,步進(jìn)角一般為1.5度,但噪聲和振動(dòng)都很大。反應(yīng)式步進(jìn)電機(jī)的轉(zhuǎn)子磁路由軟磁材料制成,定子上有多相勵(lì)磁繞組,利用磁導(dǎo)的變化產(chǎn)生轉(zhuǎn)矩,但動(dòng)態(tài)性能相對(duì)較差。永磁式步進(jìn)電機(jī)轉(zhuǎn)子采用多磁極的圓筒形的永磁鐵,在其外側(cè)配置齒狀定子。用轉(zhuǎn)子和定子之間的吸引和排斥力產(chǎn)生轉(zhuǎn)動(dòng),它的出力大,動(dòng)態(tài)性能好,但步距角一般比較大。一般為兩相,轉(zhuǎn)矩和體積較小,步進(jìn)角一般為7.5度或15度?;旌鲜讲竭M(jìn)電機(jī)是指混合了永磁式和反應(yīng)式的優(yōu)點(diǎn)。它又分為兩相和五相:兩相步進(jìn)角一般為1。8度而五相步進(jìn)角一般為0.72度。這種步進(jìn)電機(jī)的應(yīng)用最為廣泛,它是PM和VR的復(fù)合產(chǎn)品,其轉(zhuǎn)子采用齒狀的稀土永磁材料,定子則為齒狀的突起結(jié)構(gòu).此類電機(jī)綜合了反應(yīng)式和永磁式兩者的優(yōu)點(diǎn),步距角小,出力大,動(dòng)態(tài)性能好,是性能較好的一類步進(jìn)電動(dòng)機(jī),在計(jì)算機(jī)相關(guān)的設(shè)備中多用此類電機(jī)。步進(jìn)電機(jī)有步距角(涉及到相數(shù))、靜轉(zhuǎn)矩、及電流三大要素組成。一旦三大要素確定,步進(jìn)電機(jī)的型號(hào)便確定下來(lái)了。1、步距角的選擇電機(jī)的步距角取決于負(fù)載精度的要求,將負(fù)載的最小分辨率(當(dāng)量)換算到電機(jī)軸上,每個(gè)當(dāng)量電機(jī)應(yīng)走多少角度(包括減速).電機(jī)的步距角應(yīng)等于或小于此角度.目前市場(chǎng)上步進(jìn)電機(jī)的步距角一般有0。36度/0.72度(五相電機(jī))、0.9度/1。8度(二、四相電機(jī))、1。5度/3度

(三相電機(jī))等。2、靜力矩的選擇步進(jìn)電機(jī)的動(dòng)態(tài)力矩一下子很難確定,我們往往先確定電機(jī)的靜力矩。靜力矩選擇的依據(jù)是電機(jī)工作的負(fù)載,而負(fù)載可分為慣性負(fù)載和摩擦負(fù)載二種。單一的慣性負(fù)載和單一的摩擦負(fù)載是不存在的。直接起動(dòng)時(shí)(一般由低速)時(shí)二種負(fù)載均要考慮,加速起動(dòng)時(shí)主要考慮慣性負(fù)載,恒速運(yùn)行進(jìn)只要考慮摩擦負(fù)載。一般情況下,靜力矩應(yīng)為摩擦負(fù)載的2—3倍內(nèi)好,靜力矩一旦選定,電機(jī)的機(jī)座及長(zhǎng)度便能確定下來(lái)(幾何尺寸)。3、電流的選擇靜力矩一樣的電機(jī),由于電流參數(shù)不同,其運(yùn)行特性差別很大,可依據(jù)矩頻特性曲線圖,判斷電機(jī)的電流(參考驅(qū)動(dòng)電源、及驅(qū)動(dòng)電壓)。4、力矩與功率換算步進(jìn)電機(jī)一般在較大范圍內(nèi)調(diào)速使用、其功率是變化的,一般只用力矩來(lái)衡量,力矩與功率換算如下:P=

Ω·M

Ω=2π·n/60

P=2πnM/60

其P為功率單位為瓦,Ω為每秒角速度,單位為弧度,n為每分鐘轉(zhuǎn)速,M為力矩單位為牛頓·米P=2πfM/400(半步工作)其中f為每秒脈沖數(shù)(簡(jiǎn)稱PPS)2。2步進(jìn)電機(jī)驅(qū)動(dòng)系統(tǒng)介紹2.2。1步進(jìn)電機(jī)驅(qū)動(dòng)系統(tǒng)簡(jiǎn)介步進(jìn)電機(jī)不能直接接到交直流電源上工作,而必須使用專用設(shè)備—-步進(jìn)電機(jī)驅(qū)動(dòng)器。步進(jìn)電機(jī)驅(qū)動(dòng)系統(tǒng)的性能,除與電機(jī)本身的性能有關(guān)外,也在很大程度上取決于驅(qū)動(dòng)器的優(yōu)劣.典型的步進(jìn)電機(jī)驅(qū)動(dòng)系統(tǒng)是由步進(jìn)電機(jī)控制器、步進(jìn)電機(jī)驅(qū)動(dòng)器和步進(jìn)電機(jī)本體三部分組成。步進(jìn)電機(jī)控制器發(fā)出步進(jìn)脈沖和方向信號(hào),每發(fā)一個(gè)脈沖,步進(jìn)電機(jī)驅(qū)動(dòng)器驅(qū)動(dòng)步進(jìn)電機(jī)轉(zhuǎn)子旋轉(zhuǎn)一個(gè)步距角,即步進(jìn)一步。步進(jìn)電機(jī)轉(zhuǎn)速的高低、升速或降速、啟動(dòng)或停止都完全取決于脈沖的有無(wú)或頻率的高低。控制器的方向信號(hào)決定步進(jìn)電機(jī)的順時(shí)針或逆時(shí)針旋轉(zhuǎn).通常,步進(jìn)電機(jī)驅(qū)動(dòng)器由邏輯控制電路、功率驅(qū)動(dòng)電路、保護(hù)電路和電源組成.步進(jìn)電機(jī)驅(qū)動(dòng)器一旦接收到來(lái)自控制器的方向信號(hào)和步進(jìn)脈沖,控制電路就按預(yù)先設(shè)定的電機(jī)通電方式產(chǎn)生步進(jìn)電機(jī)各相勵(lì)磁繞組導(dǎo)通或截止信號(hào).控制電路輸出的信號(hào)功率很低,不能提供步進(jìn)電機(jī)所需的輸出功率,必須進(jìn)行功率放大,這就是步進(jìn)電機(jī)驅(qū)動(dòng)器的功率驅(qū)動(dòng)部分。功率驅(qū)動(dòng)電路向步進(jìn)電機(jī)控制繞組輸入電流,使其勵(lì)磁形成空間旋轉(zhuǎn)磁場(chǎng),驅(qū)動(dòng)轉(zhuǎn)子運(yùn)動(dòng)。保護(hù)電路在出現(xiàn)短路、過(guò)載、過(guò)熱等故障時(shí)迅速停止驅(qū)動(dòng)器和電機(jī)的運(yùn)行。步進(jìn)電機(jī)繞組的電氣特性步進(jìn)電機(jī)各相繞組都是在鐵心上的銅線圈,電阻和電感是電機(jī)相繞組的兩個(gè)固有屬性,電機(jī)的性能和這兩個(gè)因素密切相關(guān).繞組通電時(shí),電感使繞組電流上升速度受到限制,因此影響電機(jī)繞組電流的大小。繞組線圈的電阻是電機(jī)溫升和電能損耗的主要因素。圖2-3電感-電阻串聯(lián)電路及其電流波形步進(jìn)電機(jī)的相繞組可以等效為一個(gè)電感一電阻串聯(lián)電路。圖2-3表明了一個(gè)電感一電阻電路的電氣特性。在t=0時(shí)刻,電壓V施加到該電路上時(shí),電路中的電流變化規(guī)律為:I(t)=V(1—e-Rt/L)/R通電瞬間繞組電流上升速率為:di(0)/dt=V/t經(jīng)過(guò)一段時(shí)間,電流達(dá)到最大值:Imax=V/RL/R定義為該電路的時(shí)間常數(shù),是電路中的電流達(dá)到最大電流Imax的63%所需要的時(shí)間。在t=t:時(shí)刻,電路斷開(kāi)與直流電壓源V的連接,并且短路,電路中的電流以初始速率一V/L開(kāi)始下降,電流變化規(guī)律為:I(t)=Ve—R(t-t1)/L/R不同頻率的矩形波電壓施加到該電路上,電流波形如圖3—2所示。低頻時(shí)電流能夠達(dá)到最大值(a);當(dāng)矩形波頻率上升達(dá)到某一臨界頻率,電流剛達(dá)到最大值就開(kāi)始下降((b):矩形波頻率超過(guò)此臨界值后,繞組中的電流不能達(dá)到最大值(c)。因?yàn)椴竭M(jìn)電機(jī)轉(zhuǎn)矩的大小與繞組的電流成正比,所以電機(jī)低速運(yùn)行時(shí),電機(jī)能夠達(dá)到其額定轉(zhuǎn)矩,而在某一特定頻率以上運(yùn)行時(shí),繞組電流隨著頻率的提高逐漸下降,電機(jī)轉(zhuǎn)矩也相應(yīng)逐漸減小,從而降低了高速運(yùn)轉(zhuǎn)時(shí)帶負(fù)載能力。圖2-4不同頻率脈沖作用下電感-電阻電路的電流波形要改善電機(jī)高速運(yùn)行時(shí)的性能,有兩種辦法:提高電流上升速度VA和減小時(shí)間常數(shù)L/R;可以通過(guò)加大繞組的電壓從而增加電流上升的速率得時(shí)間常數(shù)?;蛘咴陔娐分写?lián)電阻,使L/R減少。2。3單片機(jī)原理2.31單片機(jī)原理概述單片機(jī)(single—chipmicrocomputer)是把微型計(jì)算機(jī)主要部分都集成在一塊芯片上的單芯片微型計(jì)算機(jī).圖2-5中表示單片機(jī)的典型結(jié)構(gòu)圖。由于單片機(jī)的高度集成化,縮短了系統(tǒng)內(nèi)的信號(hào)傳送距離,優(yōu)化了結(jié)構(gòu)配置,大大地提高了系統(tǒng)的可靠性及運(yùn)行速度,同時(shí)它的指令系統(tǒng)又很適合于工業(yè)控制的要求,所以單片機(jī)在工業(yè)過(guò)程及設(shè)備控制中得到了廣泛的應(yīng)用.圖2—5典型單片機(jī)結(jié)構(gòu)圖2.3。2單片機(jī)的應(yīng)用系統(tǒng)單片機(jī)在進(jìn)行實(shí)時(shí)控制和實(shí)時(shí)數(shù)據(jù)處理時(shí),需要與外界交換信息.人們需要通過(guò)人機(jī)對(duì)話,了解系統(tǒng)的工作情況和進(jìn)行控制。單片機(jī)芯片與其它CPU比較,功能雖然要強(qiáng)得多,但由于芯片結(jié)構(gòu)、引腳數(shù)目的限制,片內(nèi)ROM、RAM、I/O口等不能很多,在構(gòu)成實(shí)際的應(yīng)用系統(tǒng)時(shí)需要加以擴(kuò)展,以適應(yīng)不同的工作情況.單片機(jī)應(yīng)用系統(tǒng)的構(gòu)成基本上如圖2—6所示。圖2-6單片機(jī)的應(yīng)用系統(tǒng)單片機(jī)應(yīng)用系統(tǒng)根據(jù)系統(tǒng)擴(kuò)展和系統(tǒng)配置的狀況,可以分為最小應(yīng)用系統(tǒng)、最小功耗系統(tǒng)、典型應(yīng)用系統(tǒng)。本設(shè)計(jì)是設(shè)計(jì)一款最小應(yīng)用系統(tǒng),最小應(yīng)用系統(tǒng)是指能維持單片機(jī)運(yùn)行的最簡(jiǎn)單配置的系統(tǒng)。這種系統(tǒng)成本低廉、結(jié)構(gòu)簡(jiǎn)單,常用來(lái)構(gòu)成簡(jiǎn)單的控制系統(tǒng),如開(kāi)關(guān)量的輸入/輸出控制、時(shí)序控制等.對(duì)于片內(nèi)有ROM/EPROM的芯片來(lái)說(shuō),最小應(yīng)用系統(tǒng)即為配有晶體振蕩器、復(fù)位電路和電源的單個(gè)芯片;對(duì)與片內(nèi)沒(méi)有ROM/EPROM芯片來(lái)說(shuō),其最小應(yīng)用系統(tǒng)除了應(yīng)配置上述的晶振、復(fù)位電路和電源外,還應(yīng)配備EPROM或EEPROM作為程序存儲(chǔ)器使用。2.3。3AT89C51簡(jiǎn)介AT89C51的主要參數(shù)表2—1AT89C51的主要參數(shù)型號(hào)存儲(chǔ)器定時(shí)器I/0串行口中斷速度(MH)其它特點(diǎn)E2PROMROMRAM89C514K1282321624低電壓AT89C51含E2PROM電可編閃速存儲(chǔ)器。有兩級(jí)或三級(jí)程序存儲(chǔ)器保密系統(tǒng),防止E2PROM中的程序被非法復(fù)制。不用紫外線擦除,提高了編程效率。程序存儲(chǔ)器E2PROM容量可達(dá)20K字節(jié)。AT89C51是一種帶4K字節(jié)閃爍可編程可擦除只讀存儲(chǔ)器(FPEROM-FalshProgrammableandErasableReadOnlyMemory)的低電壓,高性能CMOS8位微處理器,俗稱單片機(jī)。該器件采用ATMEL高密度非易失存儲(chǔ)器制造技術(shù)制造,與工業(yè)標(biāo)準(zhǔn)的MCS-51指令集和輸出管腳相兼容。由于將多功能8位CPU和閃爍存儲(chǔ)器組合在單個(gè)芯片中,ATMEL的AT89C51是一種高效微控制器,為很多嵌入式控制系統(tǒng)提供了一種靈活性高且價(jià)廉的方案。其引腳如圖2-7所示.1、主要特性:

·與MCS-51兼容

·4K字節(jié)可編程閃爍存儲(chǔ)器

壽命:1000寫(xiě)/擦循環(huán)

圖2-7單片機(jī)的引腳排列·全靜態(tài)工作:0Hz-24Hz

·三級(jí)程序存儲(chǔ)器鎖定

·128*8位內(nèi)部RAM

·32可編程I/O線

·兩個(gè)16位定時(shí)器/計(jì)數(shù)器

·5個(gè)中斷源

·可編程串行通道

·低功耗的閑置和掉電模式

·片內(nèi)振蕩器和時(shí)鐘電路2、管腳說(shuō)明:

VCC:供電電壓。

GND:接地。

P0口:P0口為一個(gè)8位漏級(jí)開(kāi)路雙向I/O口,每腳可吸收8TTL門(mén)電流。當(dāng)P1口的管腳第一次寫(xiě)1時(shí),被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲(chǔ)器,它可以被定義為數(shù)據(jù)/地址的第八位。在FIASH編程時(shí),P0口作為原碼輸入口,當(dāng)FIASH進(jìn)行校驗(yàn)時(shí),P0輸出原碼,此時(shí)P0外部必須被拉高。

P1口:P1口是一個(gè)內(nèi)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4TTL門(mén)電流。P1口管腳寫(xiě)入1后,被內(nèi)部上拉為高,可用作輸入,P1口被外部下拉為低電平時(shí),將輸出電流,這是由于內(nèi)部上拉的緣故。在FLASH編程和校驗(yàn)時(shí),P1口作為第八位地址接收。

P2口:P2口為一個(gè)內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出4個(gè)TTL門(mén)電流,當(dāng)P2口被寫(xiě)“1"時(shí),其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時(shí),P2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2口當(dāng)用于外部程序存儲(chǔ)器或16位地址外部數(shù)據(jù)存儲(chǔ)器進(jìn)行存取時(shí),P2口輸出地址的高八位。在給出地址“1”時(shí),它利用內(nèi)部上拉優(yōu)勢(shì),當(dāng)對(duì)外部八位地址數(shù)據(jù)存儲(chǔ)器進(jìn)行讀寫(xiě)時(shí),P2口輸出其特殊功能寄存器的內(nèi)容.P2口在FLASH編程和校驗(yàn)時(shí)接收高八位地址信號(hào)和控制信號(hào)。

P3口:P3口管腳是8個(gè)帶內(nèi)部上拉電阻的雙向I/O口,可接收輸出4個(gè)TTL門(mén)電流。當(dāng)P3口寫(xiě)入“1"后,它們被內(nèi)部上拉為高電平,并用作輸入.作為輸入,由于外部下拉為低電平,P3口將輸出電流(ILL)這是由于上拉的緣故.

P3口也可作為AT89C51的一些特殊功能口,如下所示:

P3口管腳備選功能

P3。0RXD(串行輸入口)P3.1TXD(串行輸出口)

P3。2/INT0(外部中斷0)

P3。3/INT1(外部中斷1)

P3。4T0(記時(shí)器0外部輸入)

P3。5T1(記時(shí)器1外部輸入)

P3.6/WR(外部數(shù)據(jù)存儲(chǔ)器寫(xiě)選通)

P3。7/RD(外部數(shù)據(jù)存儲(chǔ)器讀選通)

P3口同時(shí)為閃爍編程和編程校驗(yàn)接收一些控制信號(hào)。

RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時(shí),要保持RST腳兩個(gè)機(jī)器周期的高電平時(shí)間。

ALE/PROG:當(dāng)訪問(wèn)外部存儲(chǔ)器時(shí),地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié).在FLASH編程期間,此引腳用于輸入編程脈沖.在平時(shí),ALE端以不變的頻率周期輸出正脈沖信號(hào),此頻率為振蕩器頻率的1/6。因此它可用作對(duì)外部輸出的脈沖或用于定時(shí)目的。然而要注意的是:每當(dāng)用作外部數(shù)據(jù)存儲(chǔ)器時(shí),將跳過(guò)一個(gè)ALE脈沖。如想禁止ALE的輸出可在SFR8EH地址上置0。此時(shí),ALE只有在執(zhí)行MOVX,MOVC指令是ALE才起作用。另外,該引腳被略微拉高.如果微處理器在外部執(zhí)行狀態(tài)ALE禁止,置位無(wú)效。

/PSEN:外部程序存儲(chǔ)器的選通信號(hào)。在由外部程序存儲(chǔ)器取指期間,每個(gè)機(jī)器周期兩次/PSEN有效。但在訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的/PSEN信號(hào)將不出現(xiàn)。

/EA/VPP:當(dāng)/EA保持低電平時(shí),則在此期間外部程序存儲(chǔ)器(0000H-FFFFH),不管是否有內(nèi)部程序存儲(chǔ)器。注意加密方式1時(shí),/EA將內(nèi)部鎖定為RESET;當(dāng)/EA端保持高電平時(shí),此間內(nèi)部程序存儲(chǔ)器.在FLASH編程期間,此引腳也用于施加12V編程電源(VPP)。XTAL1:反向振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入。

XTAL2:來(lái)自反向振蕩器的輸出。3、I/O口引腳:a:P0口,雙向8位三態(tài)I/O口,此口為地址總線(低8位)及數(shù)據(jù)總線分時(shí)復(fù)用;b:P1口,8位準(zhǔn)雙向I/O口;c:P2口,8位準(zhǔn)雙向I/O口,與地址總線(高8位)復(fù)用;d:P3口,8位準(zhǔn)雙向I/O口,雙功能復(fù)用口.4、振蕩器特性:

XTAL1和XTAL2分別為反向放大器的輸入和輸出。該反向放大器可以配置為片內(nèi)振蕩器。石晶振蕩和陶瓷振蕩均可采用.如采用外部時(shí)鐘源驅(qū)動(dòng)器件,XTAL2應(yīng)不接。有余輸入至內(nèi)部時(shí)鐘信號(hào)要通過(guò)一個(gè)二分頻觸發(fā)器,因此對(duì)外部時(shí)鐘信號(hào)的脈寬無(wú)任何要求,但必須保證脈沖的高低電平要求的寬度。5、芯片擦除:整個(gè)EPROM陣列和三個(gè)鎖定位的電擦除可通過(guò)正確的控制信號(hào)組合,并保持ALE管腳處于低電平10ms來(lái)完成。在芯片擦操作中,代碼陣列全被寫(xiě)“1”且在任何非空存儲(chǔ)字節(jié)被重復(fù)編程以前,該操作必須被執(zhí)行。

此外,AT89C51設(shè)有穩(wěn)態(tài)邏輯,可以在低到零頻率的條件下靜態(tài)邏輯,支持兩種軟件可選的掉電模式.在閑置模式下,CPU停止工作。但RAM,定時(shí)器,計(jì)數(shù)器,串口和中斷系統(tǒng)仍在工作.在掉電模式下,保存RAM的內(nèi)容并且凍結(jié)振蕩器,禁止所用其他芯片功能,直到下一個(gè)硬件復(fù)位為止。第3章系統(tǒng)整體硬件結(jié)構(gòu)3。1系統(tǒng)整圖系統(tǒng)整圖如圖3-1所示,本系統(tǒng)采用外部中斷方式,p0口作為信號(hào)的輸入部分,p1口為發(fā)光二極管顯示部分,p2口作為電機(jī)的驅(qū)動(dòng)部分。圖3-1系統(tǒng)整圖3。2電源部分利用LM7812和LM7805芯片得到12V和5V的電壓,它們的應(yīng)用要注意以下幾點(diǎn):(1)輸入輸出壓差不能太大,太大則轉(zhuǎn)換效率急速降低,而且容易擊穿損壞;(2)輸出電流不能太大,1.5A是其極限值.大電流的輸出,散熱片的尺寸要足夠大,否則會(huì)導(dǎo)致高溫保護(hù)或熱擊穿;(3)輸入輸出壓差也不能太小,大小效率很差。其中12V電壓給步進(jìn)電機(jī)供電,5V電壓則給單片機(jī)供電。分別如圖3-2、圖3-3所示。(1)、產(chǎn)生12V的電壓給步進(jìn)電機(jī)供電圖3—212V電路部分(2)產(chǎn)生5V的電壓給單片機(jī)供電圖3-35V電路部分3.3按鍵部分本次設(shè)計(jì)選用的是單片機(jī)的P0口來(lái)控制信號(hào)的輸入,所以把按鍵開(kāi)關(guān)和P0口連接起來(lái),當(dāng)按下開(kāi)關(guān)S1時(shí),相當(dāng)于給P0.0口一個(gè)低電平;當(dāng)按下開(kāi)關(guān)S2時(shí),相當(dāng)于給P0。1口一個(gè)低電平;當(dāng)按下開(kāi)關(guān)S3時(shí),相當(dāng)于給P0.2口一個(gè)低電平;當(dāng)按下開(kāi)關(guān)S4時(shí),相當(dāng)于給P0.3口一個(gè)低電平;當(dāng)按下開(kāi)關(guān)S5時(shí),相當(dāng)于給P0.4口一個(gè)低電平。然后通過(guò)單片機(jī)實(shí)行相應(yīng)的操作。如圖3-4。圖3-4按鍵部分電路3.4驅(qū)動(dòng)部分此電路是步進(jìn)電機(jī)的驅(qū)動(dòng)部分,我選用的是ULN2004芯片來(lái)驅(qū)動(dòng)的,ULN2004系列是一款高耐壓,大電流達(dá)林頓管驅(qū)動(dòng)器,包含7個(gè)NPN達(dá)林頓管。如圖3-5.圖3—5驅(qū)動(dòng)部分電路3.5狀態(tài)指示部分狀態(tài)指示用P1口控制發(fā)光二極管的顯示,如果相應(yīng)端口是低電平,相應(yīng)的發(fā)光二極管就會(huì)亮,用它來(lái)表示步進(jìn)電機(jī)所處的狀態(tài)。如圖3-6。圖3—6狀態(tài)指示部分電路3。6時(shí)鐘部分時(shí)鐘電路是計(jì)算機(jī)的心臟,它控制著計(jì)算機(jī)的工作節(jié)奏,可以通過(guò)提高時(shí)鐘頻率來(lái)提高CPU的速度,本次設(shè)計(jì)采用的晶振為12MHz。如圖3—7。圖3-7時(shí)鐘部分電路第4章系統(tǒng)軟件設(shè)計(jì)4.1系統(tǒng)開(kāi)發(fā)軟硬件環(huán)境與其它的微處理器一樣,開(kāi)發(fā)步進(jìn)電機(jī)驅(qū)動(dòng)系統(tǒng)控制程序也需要一套完整的軟件和硬件開(kāi)發(fā)工具。近年來(lái),隨著以51單片機(jī)為內(nèi)核的單片機(jī)的不斷發(fā)展和普及,國(guó)外的一些公司紛紛推出了以51單片機(jī)為基礎(chǔ)的集成開(kāi)發(fā)環(huán)境.本次畢業(yè)設(shè)計(jì)選用的單片機(jī)是AT89C51。4.2系統(tǒng)主程序系統(tǒng)分為電機(jī)正轉(zhuǎn)、電機(jī)反轉(zhuǎn)、電機(jī)加速與電機(jī)減速的幾部分組成,其主程序框圖如圖4-1所示。開(kāi)始開(kāi)始初始化調(diào)按鍵子程序調(diào)按鍵子程序調(diào)用正反轉(zhuǎn)子程序調(diào)用加減速子程序停止圖4-1主程序框圖4.3查鍵部分查鍵程序用于判斷P0.0口與P0。1口的值,當(dāng)p0。0口為0時(shí),電機(jī)正轉(zhuǎn),當(dāng)p0.0口為1時(shí),繼續(xù)判斷p0。1口的值,p0.1口為0時(shí),電機(jī)反轉(zhuǎn)。如圖4—2所示。NNNYY調(diào)按鍵子程序P0.0是否為0P0.1是否為0前進(jìn)后退圖4-2查鍵部分流程圖4。4前進(jìn)部分系統(tǒng)初始化之后,前進(jìn)子程序R0用于給P2口送不同的值,根據(jù)電機(jī)轉(zhuǎn)動(dòng)的相序,使電機(jī)正向轉(zhuǎn)動(dòng),P2口的值分別為01H,03H,02H,06H,04H,0CH,08H,09H。流程圖如圖4-3所示。開(kāi)始開(kāi)始(R0)+1→R0延時(shí)子程序(R0)是否等于17H10H→(R0)NY圖4-3前進(jìn)部分流程圖4。5后退部分電機(jī)反轉(zhuǎn)原理與正轉(zhuǎn)相似,此時(shí)P2口的值分別為09H,08H,0CH,04H,06H,02H,03H,01H。流程圖如圖4—4所示。開(kāi)始開(kāi)始(R0)—1→R0延時(shí)子程序(R0)是否等于10H17H→(R0)NY圖4—4后退部分流程圖4.6加速部分當(dāng)電機(jī)正轉(zhuǎn)或反轉(zhuǎn)的時(shí)候,按下加速鍵,調(diào)用加速子程序,使電機(jī)每轉(zhuǎn)動(dòng)一步的延時(shí)時(shí)間變短,從而實(shí)現(xiàn)電機(jī)的加速。流程圖如圖4—5所示。YYN開(kāi)始(R1)是否為25H((R1)+1)→R1正反轉(zhuǎn)子程序圖4-5加速部分流程圖4。7減速部分電機(jī)正轉(zhuǎn)或反轉(zhuǎn)的時(shí)候,按下減速鍵,通過(guò)改變電機(jī)每轉(zhuǎn)動(dòng)一步的延時(shí)時(shí)間,使時(shí)間變長(zhǎng),從而實(shí)現(xiàn)電機(jī)減速。流程圖如圖4-6所示.YYN開(kāi)始(R1)是否為20H((R1)—1)→R1正反轉(zhuǎn)子程序圖4—6減速部分流程圖第5章系統(tǒng)的調(diào)試與檢測(cè)5.1程序編譯時(shí)的錯(cuò)誤與解決方法把編好的程序(包括正反轉(zhuǎn)程序、停止程序、顯示程序等)合理安排好結(jié)合到一起進(jìn)行編譯.由于編譯只能檢查是否存在語(yǔ)法錯(cuò)誤,所以還要看是否存在邏輯錯(cuò)誤。程序修改好以后,當(dāng)顯示編譯0錯(cuò)誤,0警告的時(shí)候,這說(shuō)明已經(jīng)沒(méi)有語(yǔ)法錯(cuò)誤了,是否有邏輯錯(cuò)誤還要看接上電路板通過(guò)仿真以后,步進(jìn)電機(jī)能否正常轉(zhuǎn)動(dòng),顯示是否正常。5。2LM7812輸出電壓錯(cuò)誤與解決方法電路的工作離不開(kāi)電源,所以電源是必不可少的。電源采用的是利用變壓器將220V的電壓轉(zhuǎn)換為12V的電壓,再利用橋堆整流使交流電變成直流電,最后分別利用LM7812和LM7805芯片得到12V和5V的電壓.電路板焊接好以后,首先要檢查一下電路設(shè)計(jì)是否合理、元器件焊接是否正確,焊接好以后需要仔細(xì)檢查。用萬(wàn)用表分別檢測(cè)從7812和7805第三個(gè)端口出來(lái)的是否是12V和5V,結(jié)果發(fā)現(xiàn)7805兩端電壓正常,7812兩端電壓非常不穩(wěn)定。用萬(wàn)用表仔細(xì)檢查了每根線,發(fā)現(xiàn)了原因,電路板存在虛焊的現(xiàn)象。再次將電路板焊好,檢查好以后,用萬(wàn)用表檢測(cè)兩端輸出電壓,結(jié)果正確,電源準(zhǔn)備工作完畢。5。3步進(jìn)電機(jī)轉(zhuǎn)動(dòng)錯(cuò)誤及解決方法步進(jìn)電機(jī)一開(kāi)始不能正常轉(zhuǎn)動(dòng),以為是電路焊接有問(wèn)題,為了防止再次出現(xiàn)虛焊,首先將電路板用萬(wàn)用表檢查了一遍,沒(méi)問(wèn)題。程序也是正確的。后來(lái)仔細(xì)看了步進(jìn)電機(jī)工作原理,原來(lái)步進(jìn)電機(jī)要正常實(shí)現(xiàn)正反轉(zhuǎn),四個(gè)相序必須弄清.把電機(jī)接上電源,用高電平分別接觸電機(jī)的引線,每接觸一下電機(jī)就會(huì)向前或向后轉(zhuǎn)動(dòng)一下,經(jīng)過(guò)幾次試驗(yàn),終于搞清了電機(jī)的四個(gè)相序,排列順序分別是1-A,2—C,3—B,4—D。弄清了相序,把電路板重新布線,焊接好,結(jié)果電機(jī)能夠正常轉(zhuǎn)動(dòng)了。5。4結(jié)論與展望本次畢業(yè)設(shè)計(jì)能夠?qū)崿F(xiàn)步進(jìn)電機(jī)的啟停、正反轉(zhuǎn)以及速度的調(diào)節(jié),通過(guò)本次畢業(yè)設(shè)計(jì)加強(qiáng)了我對(duì)軟件編程和硬件設(shè)計(jì)的掌握,并且熟悉了ULN2004、74ls11等芯片。步進(jìn)電機(jī)在控制系統(tǒng)中具有廣泛的應(yīng)用.它可以把脈沖信號(hào)轉(zhuǎn)換成角位移,并且可用作電磁制動(dòng)輪、電磁差分器、或角位移發(fā)生器等,所以說(shuō)步進(jìn)電機(jī)有著廣闊的市場(chǎng)和遠(yuǎn)大的發(fā)展前景。參考文獻(xiàn)[1]張友德.單片微型機(jī)原理、應(yīng)用與實(shí)驗(yàn)[M]。上海:復(fù)旦大學(xué)出版社,2005.[2]李夙。異步電動(dòng)機(jī)直接轉(zhuǎn)矩控制[M].北京:機(jī)械工業(yè)出版社,1998.[3]王鴻鈺.步進(jìn)電機(jī)控制入門(mén)[M]。上海:同濟(jì)大學(xué)出版社,1990。[4]袁任光,張偉武。電動(dòng)機(jī)控制電路選用與258實(shí)例[M]。北京:機(jī)械工業(yè)出版社,2005。[5]王秀和。永磁電機(jī)[M].北京:中國(guó)電力出版社,2007.[6]房玉明,杭柏林?;趩纹瑱C(jī)的步進(jìn)電機(jī)開(kāi)環(huán)控制系統(tǒng)[J]。電機(jī)與控制應(yīng)用,2006,33(4):64-64.[7]孫笑輝,韓曾晉.減少感應(yīng)電動(dòng)機(jī)直接轉(zhuǎn)矩控制系統(tǒng)轉(zhuǎn)矩脈動(dòng)的方法[J]。電氣傳動(dòng),2001,(1):8—11.[8]馮江華,陳高華,黃松濤。異步電動(dòng)機(jī)的直接轉(zhuǎn)矩控制[J]。電工技術(shù)學(xué)報(bào),1999,(6):29-33.[9]江一,朱凌,申仲濤。異步電動(dòng)機(jī)直接轉(zhuǎn)矩控制仿真研究[J].華北電力大學(xué)學(xué)報(bào),2003,(1):10-13。[10]韓利虎.淺談步進(jìn)電機(jī)的基本原理[J].內(nèi)蒙古石油化工,InnerMongoliaPetrochemicalIndustry,2007,(11):109.[11]張巍.淺談單片機(jī)控制步進(jìn)電機(jī)[J].安防科技,2006,(3):25。[12]喬璐。,景林,韓英桃。一種實(shí)用的步進(jìn)電動(dòng)機(jī)驅(qū)動(dòng)器設(shè)計(jì)[J]。微特電機(jī),2005,(10):29-31.[13]康晶。采用反饋控制的步進(jìn)電機(jī)高低壓驅(qū)動(dòng)電路[J].電力電子技術(shù),2003,37(1):61-62,65。[14]IsaoTakahashi,ToshihikoNoguchi。Anewresponeseandhigh-efficiencycontrolstrategyofanmotor[J].IEEETransonIndAppl,1986,22(5):820-827.[15]DepenbrockM。Directself-control(DSC)ofinverterfedmachine[J]。IEEETransonPE,1998,3(4):420-429.致謝首先誠(chéng)摯的感謝我的論文指導(dǎo)老師吳曉慶老師,從選題的確定、論文的寫(xiě)作、修改到最后定稿過(guò)程中,自始至終都傾注著老師的心血。特別是她多次詢問(wèn)寫(xiě)作進(jìn)程,并為我指點(diǎn)迷津,幫助我開(kāi)拓思路,老師以嚴(yán)謹(jǐn)?shù)闹螌W(xué)之道、寬厚仁慈的胸懷、積極樂(lè)觀的生活態(tài)度,兢兢業(yè)業(yè)、孜孜以求的工作作風(fēng)和大膽創(chuàng)新的進(jìn)取精神為我樹(shù)立了一輩子學(xué)習(xí)的典范,她的教誨與鞭策將激勵(lì)我在學(xué)習(xí)和生活的道路上勵(lì)精圖治,開(kāi)拓創(chuàng)新。她淵博的知識(shí)、開(kāi)闊的視野和敏銳的思維給了我深深的啟迪。我以最誠(chéng)摯的心意感謝吳曉慶老師。在畢業(yè)設(shè)計(jì)期間,我要感謝許多讓我分享他們寶貴經(jīng)驗(yàn)和知識(shí)的老師,教會(huì)我正確的思考方式。同時(shí),也要感謝在論文寫(xiě)作過(guò)程中,幫助過(guò)我、并且共同奮斗四年的大學(xué)同學(xué)們,能夠順利完成論文,離不開(kāi)他們的幫助,在此表示最深的謝意。附錄附錄1:源程序清單QIANEQU40HHOUEQU41HJIAEQU42HJIANEQU43HTINGEQU44HORG000HLJMPMAINORG003HLJMPDUAN/// 外部中斷0ORG0030HMAIN:///初始化MOVR0,#0FHMOV10H,#01HMOV11H,#03HMOV12H,#02HMOV13H,#06HMOV14H,#04HMOV15H,#0CHMOV16H,#08HMOV17H,#09HMOV20H,#50MOV21H,#40MOV22H,#30MOV23H,#20MOV24H,#10MOV25H,#5MOVR1,#20HMOVIE,#10000001BCLRQIANCLRHOUCLRJIACLRJIANCLRTINGMOVA,@R1MOVR2,ASETBIT0 ////邊沿觸發(fā)形式LOOP1:JNBQIAN,LOOP2 ACALLFRONT1LOOP2:JNBHOU,LOOP1ACALLBACK1DUAN:MOVA,P0CPLA ANLA,#1FH///去高三位,使按下去的一位為1 CJNEA,#00H,CHA AJMPDUANCHA:ACALLDELAY10MSMOVA,P0CPLAANLA,#1FH JZDUAN ///為零轉(zhuǎn)移 ACALLZHAO RETIZHAO:MOVA,P0 //查找是哪一位,下面置1JNBACC.0,FRONT JNBACC。1,BACK JNBACC.2,FAST JNBACC.3,SLOW JNBACC.4,STOPFRONT:SETBQIAN RETBACK:SETBHOURETFAST:SETBJIARETSLOW:SETBJIANRETSTOP:SETBTINGRETFRONT1:CLRQIANCLRHOU CLRJIA CLRJIAN CLRTINGINCR0 ///前進(jìn)子程序R0用于給P2口送不同的值MOVP2,@R0 MOVA,@R1 MOVR2,A ///R2用于延時(shí)不同的時(shí)間 ACALLDELAYCJNE R0,#17H,XIA MOVR0,#10HXIA:JBQIAN,HUI//回主程序////////XIA子程序用于過(guò)度 JBHOU,HUI JBTING,HUI JNBJIA,XIA1 ACALLJIA1XIA1:JNBJIAN,XIA2 ///XIA子程序用于過(guò)度 ACALLJIAN1JBTING,HUIXIA2:AJMPFRONT1 HUI:RET //返回主程序JIA1:CJNER1,#25H,XIA3///加速 RETXIA3:INCR1RETBACK1:CLRQIAN //后退CLRHOU CLRJIA CLRJIAN CLRTING CJNER0,#0FH ,XIA8 MOVR0,#17HXIA8:DECR0 MOVP2,@R0 MOVA,@R1 MOVR2,A ACALLDELAY CJNER0,#10H,XIA5 MOVR0,#17HXIA5:JBQIAN,HUIJBTING,HUI JBHOU,HUI JNBJIA,XIA6 ACALLJIA1XIA6:JNBJIAN,XIA7 ACALLJIAN1XIA7:AJMPBACK1JIAN1:CJNER1,#20H,XIA4 //減速 RETXIA4:DECR1RETDELAY10MS: MOVR3,#20D1:MOVR4,#250DJNZR4,$ DJNZR3,D1 RETDELAY:MOVR5,#50 //根據(jù)r2的狀態(tài)調(diào)整步進(jìn)電機(jī)的每一步的時(shí)間D2:MOVR6,#150D3:DJNZR6,$DJNZR5,D2DJNZR2,DELAYRETEND附錄2:英文資料及其中文翻譯Steppermotorisanelectricalpulsewillbeconvertedintoangulardisplacementoftheimplementingagencies.Putitinsimplelanguage-speaking:Whenthestepperdrivepulsesignaltoareceiver,itdrivessteppermotorrotationdirectionbysettingafixedpointofview(andthestepangle)。Youcancontrolthenumberofpulsestocontroltheamountofangulardisplacement,soastoachievethepurposeofaccuratepositioning;Atthesametime,youcanbycontrollingthepulsefrequencytocontrolthemotorrotationspeedandacceleration,soastoachievethepurposeofspeed。SteppermotordirectlyfromtheAC—DCpowersupply,andmustusespecialequipment-steppermotordrive.Steppermotordrivesystemperformance,inadditiontotheirownperformancewiththemotorontheoutside,butalsotoalargeextentdependonthedriveisgoodorbad.Atypicalsteppermotordrivesystemisoperatedbythesteppermotorcontroller,steppermotordrivesandsteppermotorbodyiscomposedofthreeparts.Steppermotorcontrollerstepperpulseanddirectionsignal,eachmadeofapulse,steppermotor—drivensteppermotordrivesarotorrotatingstepangle,thatis,step-by-stepfurther。Highorlowspeedsteppermotor,orspeed,ordeceleration,startorstoppulsesareentirelydependentonwhetherthelevelorfrequency。Decidethedirectionofthesignalcontrollersteppermotorclockwiseorcounterclockwiserotation。Typically,thesteppermotordrivecircuitfromthelogiccontrol,powerdrivercircuit,protectioncircuitandpowercomponents.Steppermotordrivecontroller,oncereceivedfromthedirectionofthesignalandsteppulse,thecontrolcircuitonapre—determinedwayoftheelectricalpower—phasesteppermotorexcitationwindingsoftheconductionorcut—offsignal。Controlcircuitoutputsignalpowerislow,cannotprovidethenecessarysteppingmotoroutputpower,theneedforpoweramplifier,whichissteppermotordrivenpowerdrivepart.Powersteppermotordrivecircuittocontroltheinputcurrentwindingtoformaspaceforrotatingmagneticfieldexcitation,therotor—drivenmovement。Protectioncircuitintheeventofshortcircuit,overload,overheating,suchasfailuretostoptherapiddriveandmotor.Motorisusuallyforthepermanentmagnetrotor,whenthecurrentflowsthroughthestatorwindings,thestatorwindingsproduceamagneticfieldvector.Themagneticfieldwillleadtoarotorangleofrotation,makingapairofrotorandstatormagneticfielddirectionofthemagneticfielddirection.Whenthestatorrotatingmagneticfieldvectorfromadifferentangle。Alsoastherotormagneticfieldtoapointofview。Anelectricalpulseforeachinput,themotorrotationanglestep.Itsoutputandinputoftheangulardisplacementisproportionaltothepulses,withpulsefrequencyproportionaltospeed。Powertochangetheorderofwinding,theelectricalwillbereversed.Wecan,therefore,controlthepulsenumber,frequencyandelectricalpowerwindingsofeachphasetocontroltheorderofrotationofsteppermotor。Steppermotortypes:Permanentmagnet(PM).Magneticgenerallytwo—phasestepper,torqueandaresmallerandgenerallysteppingangleof7。5degreesor15degrees;putmorewindforair—conditioning.Reactive(VR),thedomesticgeneralcalledBF,haveacommonthree—phasereaction,stepangleof1.5degrees;alsohavefive-phasereaction。Noise,notorquehasbeensetatalargenumberofout。Hybrid(HB),commontwo-phasehybrid,five—phasehybrid,three-phasehybrid,four-phasehybrid,two—phasecanbecommonwiththefour—phasedrive,five—phasethree—phasemustbeusedwiththeirdrives;Two-phase,four-phasehybridstepangleis1。8degreesmorethanasmallsize,greatdistance,andlownoise;Five-phasehybridsteppingmotorisgenerally0。72,themotorstepanglesmall,highresolution,butthecomplexityofdrivecircuits,wiringproblems,suchasthe5—phasesystemof10lines.Three-phasehybridsteppingmotorstepangleof1.2degrees,butaccordingtotheuseof1.8degrees,thethree-phasehybridsteppingmotorhasatwo—phasemixedthanthefive-phasehybridmorepolewillhelpelectricfoldersymmetricangle,itcanbemorethantwo—phase,five—phasehighaccuracy,theerrorevensmaller,runmoresmoothly。Steppermotortomaintaintorque:steppermotorpowermeansnorotation,thestatorlockedrotortorque。Itisasteppermotor,oneofthemostimportantparameters,usuallyinthelow-speedsteppermotortorqueatthetimeofclosetomaintainthetorque.Asthesteppermotoroutputtorqueincreaseswiththespeedofconstantattenuation,theoutputpoweralsoincreaseswiththespeedofchange,soastomaintaintorqueonthesteppermotortomeasuretheparametersofoneofthemostimportant。Forexample,whenpeoplesaythatthesteppermotor2N。m,intheabsenceofspecialcircumstancesthatmeansformaintainingthetorqueofthesteppermotor2N。m.Precisionsteppermotors:steppermotorstepangleaccuracyof3—5%,notcumulative.Startfrequencyofno—load:thesteppermotorincaseofno—loadtothenormalstartofthepulsefrequency,ifthepulsefrequencyishigherthanthevalueofmotordoesnotstart,possibletolosestepsorblocking。Inthecaseoftheload,startfrequencyshouldbelower。Ifyouwanttoachievehigh-speedrotationmotor,pulsefrequencyshouldbetoacceleratetheprocess,thatis,thelowerfrequencytostart,andthenrosetoacertainaccelerationofthedesiredfrequency(motorspeedfromlowrisetohigh-speed)。Stepangle:thatistosendapulse,theelectricalanglecorrespondingtorotation.Torquepositioning:positioningtorquesteppermotordoesnotrefertothecaseofelectricity,lockedrotortorquesta

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論