基于51單片機的智能十字路口的交通燈系統(tǒng)設計說明_第1頁
基于51單片機的智能十字路口的交通燈系統(tǒng)設計說明_第2頁
基于51單片機的智能十字路口的交通燈系統(tǒng)設計說明_第3頁
基于51單片機的智能十字路口的交通燈系統(tǒng)設計說明_第4頁
基于51單片機的智能十字路口的交通燈系統(tǒng)設計說明_第5頁
已閱讀5頁,還剩18頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

23/23參賽編號:__________(主辦方填)郵電大學第六屆電子制作大賽作品技術報告交通燈(單片機)自動化學院大三公元2010年11月12日作品技術報告摘要隨著社會的進步,科技的進步使人們的生活水平逐步提高,各種方便人們生活的自動控制系統(tǒng)進入了人們的生活,以單片機為核心的產品越來越多,這標志了自動控制系統(tǒng)成為了數字化時代的一員。本次設計為十字路口交通燈控制系統(tǒng)設計,紅綠黃燈按指定時序按不同時間循環(huán)點亮,并可實現主從道模式和普通模式的檢測與轉換,智能、安全、高效的指揮交通秩序。本交通燈系統(tǒng)硬件部分以89C52單片機為核心控制器,在此基礎上,采用開關按鍵控制,并用光電傳感器來檢測綠、黃燈亮時的車流量,當紅燈亮時也可采集闖紅燈的車輛數并實現閃燈和蜂鳴器報警,并把采集到的流量數據與違規(guī)車輛數通過按鍵依次顯示在四位數碼管上。實現了用傳感器檢測車流量或按鍵來控制A、B兩模擬車道的紅綠燈燃亮時間。軟件部分它以單片機定時器、計數器為基礎,采用C編程控制,實時檢測車流量和違規(guī)現象,智能或手工切換車道模式。最后,為了能安全、穩(wěn)定地給控制系統(tǒng)供電,本系統(tǒng)以LM2940CT—5為核心設計了5v穩(wěn)壓電源,大大提高了系統(tǒng)的安全性和穩(wěn)定性。關鍵詞:89C52開關按鍵光電傳感器智能切換定時/計數器LM2940CT穩(wěn)壓電路作品介紹概述社會經濟的發(fā)展,城市化和城鎮(zhèn)化進程的加快造成道路交通堵塞問題日趨嚴重,如何進行合理的管理和調度而盡量減少交通堵塞現象目前成為我國很多地方特別是一些特大城市急需解決的問題,顯然交通燈在其中起著不可缺少的作用。本設計就交通燈的控制方法進行了討論,綜合考慮性價比,實用性,以與合理性,并用軟硬件實現,希望能給有關政府部門一些參考,更好的改善城市交通。作品介紹交通燈的控制方式很多,本系統(tǒng)主要是以89C52為核心來設計交通控制系統(tǒng),單片機外接六個發(fā)光二極管交替發(fā)光來表示交通燈的紅綠燈的交換,另外用四個數碼管來倒計時各個發(fā)光二極管亮的時間,除此之外,本設計還采用個開關按鈕來實現一系列擴展功能,如紅綠燈亮的時間的長短的切換,復位,緊急情況下的檢測,顯示車流量和闖紅燈的人數,為了合理的管理和調度,本設計增加了一個光電傳感器來檢測車流量,當車流量達到某個上限時,紅綠燈的亮滅切換成主從模式,使主干道的通行時間增加,當車流量小于某個值時,紅綠燈切換回普通模式(不分主從,通行時間一樣),以此來減少交通堵塞的現象。光電傳感器在本設計中還有另外一個作用就是用它來檢測闖紅燈的人,并由蜂鳴器發(fā)出報警聲,達到警告的目的。工作原理1、原理概述本設計通過8051核心單片機控制整個系統(tǒng),分為主控制器、傳感器檢測模塊、按鍵檢測模塊、電源模塊、復位電路、顯示模塊、報警模塊等七大模塊。擁有單獨穩(wěn)壓電源供電,采用7個按鍵檢測外部輸入信號,如主從道和普通模式切換、違規(guī)報警,緊急情況下暫停、系統(tǒng)復位、車流量和違規(guī)車輛檢測等。交通燈由紅、黃、綠三種顏色燈組成用來指揮交通的信號燈。綠燈亮時,準許車輛通行,黃燈亮時,已越過停止線的車輛可以繼續(xù)通行;紅燈亮時,禁止車輛通行。系統(tǒng)可通過光電傳感器智能、實時檢測車流量與違規(guī)現象,將采集到的數據傳給8051控制核處理,并通過8051控制數碼管顯示交通燈計數值、車流量和違規(guī)車輛等。2、交通燈時序控制交通燈的燃亮時序如圖一。本設計象征性的設置了兩種路口模式,即普通模式和主從道模式,通過采集傳感器檢測的A,B車道流量控制兩種模式的轉換。設置A車道車流量上限值M,系統(tǒng)開始運行后,A車道按綠、黃、紅循環(huán)點亮,B車道按紅、綠、黃依次點亮,同時傳感器記錄A車道在綠、黃燈亮時的車流量,當A、B車道交通燈完成一次循環(huán)時,比較記錄流量與設置值M比較,若大于等于M則A、B車道自動切換到主從模式,反之則保持原來的普通模式。車道模式A方向B方向綠黃紅……..紅綠黃……..普通20520……..20205………主從30520……30205……..圖1交通燈燃亮時序圖系統(tǒng)初始化(即系統(tǒng)上電或系統(tǒng)復位)后,A方向和B方向分別為綠燈和紅燈,表示A、B方向的數碼管都是從20秒或30秒開始減。A、B方向交通燈各自按圖2順序循環(huán)。黃燈黃燈紅燈綠燈圖二交通燈循環(huán)圖交通燈定時原理與系統(tǒng)時鐘(1)8051單片機采用部時鐘方式,外接12M晶體振蕩器。(2)交通燈計數時間間隔為1S,采用硬件定時和軟件延時相結合的方式。硬件定時通過8051的定時器\計數器T0中斷產生,首先設置50ms計數初值,當計數溢出并置標志位TR0為1時產生中斷,進入中斷程序后,再通過軟件延時的方式設置20次循環(huán)標志,只有當計數器中斷了20次即延時共1S時數碼管才開始計數,以此實現1S的精確定時。具體控制過程原理系統(tǒng)上電復位,通過軟件自動初始化系統(tǒng)。通過單片機復位引腳REST和8051的P30口檢測復位信號,并傳遞給8051處理,實現復位。由8051單片機的定時器/計數器每秒鐘向P1口送數據,通過該口控制紅、綠、黃燈的點亮情況,通過8051的P0、P2口經過NPN三極管驅動數碼管顯示紅、綠、黃燈的點亮時間。通過8051的P32口來控制系統(tǒng)的緊急情況,交通燈計數清零和全亮紅燈。在復位狀態(tài)下,通過P31口用按鍵手工切換兩車道的模式,即主從道模式和普通模式。在緊急情況下,通過P35口用按鍵手工控制報警器報警和高亮藍燈示警。采用光電傳感器,通過單片機P21口檢測綠、黃燈亮時的A車道車流量和紅燈亮時的違規(guī)車輛,并通過8051處理,自動實現A、B兩車道的模式,即紅、綠燈燃亮時間。并可通過P36口和P20口按鍵分別控制車流量和闖紅燈的情況。方案選擇和設計(1)電源提供方案為了使模塊穩(wěn)定工作,需要有可靠的電源,我們考慮了三種方案:方案一:采用單片機控制模塊提供電源。此方案的優(yōu)點是使模塊簡明扼要,缺點是功率太小,發(fā)光二極管不夠亮。方案二:采用電池供電。此方案的優(yōu)點是模塊簡明扼要,缺點是電壓不穩(wěn)定,用了一段時間之后電壓會減小。方案三:采用獨立的穩(wěn)壓電源。此方案的優(yōu)點是電源穩(wěn)定可靠,且有各種成熟電路可以選擇,缺點是使模塊變得復雜。綜上所述,我們選擇第三種方案,如圖3圖35V電源電路圖(2)顯示方案該系統(tǒng)要求倒計時顯示時間和狀態(tài)燈的功能,我們自己增加了顯示車流量和闖紅燈的人數,我們考慮了兩種方案:方案一:采用兩個四位的數碼管顯示,此方案的優(yōu)點是能直觀的把車流量和闖紅燈的人數還有倒計時顯示出來,此方案的缺點是數碼管引腳復雜,使電路復雜,給布線帶來困難。方案二:采用一個四位數碼管顯示,此方案的優(yōu)點是電路簡單,不用外擴I/O口,也不用增加鎖存器,減小成本,此方案的缺點是不能同時倒計時顯示和車流量和闖紅燈的人數的顯示,同時還增加了軟件的復雜度。綜合考慮,我們選擇了第二種方案,采用按鍵切換顯示。(3)時鐘方案為了提供可靠的時間,我們考慮了兩種方案方案一:采用555定時器定時,此方案的優(yōu)點是成本低,性能可靠,缺點是使電路變復雜,本組成員也不是很熟悉用法。方案二;采用一個12MHz的晶振和兩個電容組成的時鐘電路。此方案的優(yōu)點是成本低,電路簡單,性能可靠??紤]到我們平時接觸的是采用晶振和MCS-51單片機來實現自激振蕩,所以我們選擇了第二種方案。(4)傳感器選擇方案方案一:自己制作,用光敏三極管和發(fā)光二極管以與電阻組成一光電開關傳感器,方案優(yōu)點是成本低,體積小。缺點是靈敏度較差、噪聲抑制力很弱以與檢測的不穩(wěn)定性,將會影響到系統(tǒng)的整體性能。方案二:用一光電傳感器成品,此方案優(yōu)點是靈敏度高、穩(wěn)定性好,缺點是成本高、體積相對較大。綜合考慮我們選擇第二種方案。6、本作品來自團隊三人合作研究成果。作品功能、特色1、復位功能本設計裝有復位鍵,當復位鍵按下之后,四位數碼管全部顯示0,發(fā)光二極管全部滅。2、正常指揮功能紅、黃、綠燈分別以20、5、20秒的時間循環(huán)顯示。當沒有任何一個鍵按下的時候,系統(tǒng)以A紅、B綠同時顯示20秒,A黃5秒、B紅5秒,A紅15秒,B綠15秒,B黃25秒、A綠15秒的順序顯示在數碼管上。3、緊急處理緊急時A、B兩個方向上都亮紅燈,數碼管停止計數。4、普通模式和主從模式的切換功能普通模式就是按紅、黃、綠燈分別顯示20、5、20秒,主從模式是在主干道即A方向上綠燈30秒,黃燈5秒,紅燈20秒,而從道上即B方向是紅燈30秒,黃燈5秒,綠燈20秒。兩種模式的切換有兩種方式。第一種方式是靜態(tài)切換,按下復位鍵,在這種情況下,按下切換鍵,可以進行主從/普通模式的切換。第二種方式是動態(tài)切換,光電傳感器檢測到的某個車道上的車流量大于某個值M(如20)時,單片機自動切換到主從模式,同時,當傳感器檢測到某個車道上的車流量小于某個值M時,單片機自動切換到普通模式。5、顯示的切換在一般情況下,數碼管顯示倒計時,當按下切換鍵S1和S4時,數碼管顯示車流量和闖紅燈的人數。6、蜂鳴器報警報警方式有兩種,智能報警和手工報警方式。當某個干道上是紅燈的時候,傳感器檢測到有車闖過去時,蜂鳴器智能報警、同時藍色警示燈示警。其二,在緊急情況下當有車闖紅燈時可手工按鍵報警。7、本設計的市場競爭力本設計采用的元器件相對較少,本著使用最少的元件,發(fā)揮最大的功能的理念,充分發(fā)揮每個元件的功能,使電路簡單,成本低廉。還有更大的一個優(yōu)勢是采用傳感器檢測車流量,用車流量的多少來自動智能切換模式,充分利用空間資源,減少擁堵現象。五、作品結構1、硬件部分按鍵復位檢測數碼管驅動電路(NPN三極管)蜂鳴器與高亮LED報警模塊12M時鐘振蕩電路A、B兩組交通燈模塊上電復位電路系統(tǒng)電源模塊光電傳感器流量檢測模塊4位共陽數碼管顯示模塊8051控制器系統(tǒng)處理按鍵復位檢測數碼管驅動電路(NPN三極管)蜂鳴器與高亮LED報警模塊12M時鐘振蕩電路A、B兩組交通燈模塊上電復位電路系統(tǒng)電源模塊光電傳感器流量檢測模塊4位共陽數碼管顯示模塊8051控制器系統(tǒng)處理緊急情況檢測按鍵檢測模塊緊急情況檢測按鍵檢測模塊違規(guī)現象顯示控制路口交通燈模式切換檢測違規(guī)現象顯示控制路口交通燈模式切換檢測圖3系統(tǒng)硬件結構框圖2、系統(tǒng)實際總體電路圖圖4系統(tǒng)仿真電路3、局部電路圖(1)89C52的控制模塊(2)89C52的XTAL1和XTAL2接外部時鐘電路,用于控制單片機的工作周期。(3)89C52的RST接按鍵復位電路,用于單片機的復位控制。(4)89C52的P2.0、P2.1、P3.0、P3.1、P3.4分別接開關S6、S5、S1、S2、S3、S4,用于數碼管顯示車流量、數碼管顯示闖紅燈的人數、緊急情況下暫停、單片機復位、模式切換。(5)89C52的P2.2、P3.6和P3.7分別接傳感器、蜂鳴器、閃光燈,用于檢測車流量和闖紅燈的人、報警。3.1.589C52的P1.0~P1.5外接六個發(fā)光二極管,具體作用通過編程實現。3.689C52的P1.0~P1.7接數碼管的段選和上拉電阻,P2.2~P2.5接數碼管的位選,動態(tài)顯示數碼管。(6)發(fā)光二極管電路圖6P1.0~P1.5接六個發(fā)光二極管,由于89C52管腳的輸出電流太大,所以外接0.3K的排阻限流,法官二極管共陽極接+5V電源。(7)三極管驅動數碼管顯示電路圖7由于數碼管顯示交通燈的倒計時,東西方向,南北方向數碼管顯示一樣,因為是參加比賽,為方便電路,僅接一個四位數碼管顯示,具體有程序實現。通過同名管腳上所加電平的高低來控制法官二極管是否點亮而顯示不同數字,由于數碼管顯示需要大一點的電流,而單片機管腳輸出的電流不足以驅動它發(fā)亮,所以在數碼管的位選處接NPN型三極管驅動它。圖8檢測、報警電路P2.2和P3.6外接傳感器和蜂鳴器,當傳感器檢測到有人闖紅燈時蜂鳴器就以滴滴聲報警。由于單片機的I/O口輸出電流太小,不能驅動蜂鳴器發(fā)出聲音,所以要接一個電流放大電路,三極管的基極經過限流電阻R3后由單片機的P3.6控制。(8)電源電路圖9二、軟件部分交通燈控制器的軟件設計采用C語言編程實現,算法與程序結構主要有,子函數調用、程序初始化、程序的模塊化、中斷定時處理、按鍵檢測判斷、以與循環(huán)等。1、燃亮時序交通燈燃亮時序控制運用if判斷語句,判斷按鍵或程序初始化后設定的交通燈時序狀態(tài)值選擇哪個燈亮,再通過C語言的循環(huán)結構構和順序結構循環(huán)控制燈亮的順序。2、系統(tǒng)延時系統(tǒng)延時通過軟延時的方法,運用C語言的循環(huán)結構for語句,通過調用延時子函數的方法產生約(1/12)*24*(N+110)us的延時,N為延時函數參數值。3、計數顯示間隔1秒的精確定時本系統(tǒng)延時由于受到單片機資源的限制,主要采用單片機定時器定時和軟延時相結合的方式。首先設置50ms計數初值為50000,當計數溢出并置標志位TR0為1時產生中斷,進入中斷程序后,再通過軟件延時的方式設置20次循環(huán)標志,只有當計數器中斷了20次即延時共1S時數碼管才開始計數,以此實現1S的精確定時。4、顯示判斷通過設置顯示類型的標志位,按鍵檢測產生標志位變化,用if語句判斷執(zhí)行顯示類型5、模式切換、復位、暫停與傳感檢測通過if語句判斷檢測到的外部輸入信號,選擇執(zhí)行。6、程序控制流程圖如下圖。初始化初始化光電管為低平?電?電平平?倒計時完畢?開始設定初值按鍵事件檢測與處理數碼管顯示程序處理綠燈倒計時檢測車流量黃燈倒計時5秒紅燈倒計時光電管低電平?平?倒計時完畢?結束YYNN檢測闖紅燈YYN7、系統(tǒng)程序#include<reg52.h>#defineucharunsignedchar#defineuintunsignedint#defineM20sbitfuwei=P3^0;sbitzhant=P3^2;sbitqieh=P3^1;sbitjianc=P3^5;sbitled=P2^2;sbitbeep=P2^3;sbits1=P3^6;//流量liulsbits4=P2^0;//闖紅燈chuhsbitra=P1^0;sbitya=P1^1;sbitga=P1^2;sbitrb=P1^3;sbityb=P1^4;sbitgb=P1^5;sbitchuangan=P2^1;uintpp,cc,aa=20,bb=20,num,i,j,tt,dd,ee,ff,gg,m,n,kk,ll;uintnum1=0,num2=0,m1=1,m2=1,m3=1,n1=1,n2=1;uintshia,shib,gea,geb,shi1,ge1,shi2,ge2,shi3,ge3,shi4,ge4;uinthh1=1,hh2=1,hh3=1,hh4=1;uintm3,h1=1,h2=1,h3=1,h4=1;ucharcodetabd[]={0x40,0x79,0x24,0x30,0x19,0x12,0x02,0x78,0x00,0x10};//7段數碼管段碼表共陽ucharcodetabw[]={0x80,0x40,0x20,0x10};//位選表voiddelay(uint);voiddisplay(uchar,uchar,uchar,uchar);voidtraf(uchar,uchar,uchar,uchar,uchar,uchar);voidmain(){pp=5;m3=1;aa=0;bb=0;traf(1,1,1,1,1,1);ll=1;kk=1;n=1;m=1;dd=1;cc=1;tt=1;ff=0;gg=0;led=1;beep=1;/*************************************************/TMOD=0x01;//定時器設置TH0=(65536-50000)/256;TL0=(65536-50000)%256;//50ms初值EA=1;ET0=1;TR0=1;/************************************************/while(1){shia=aa/10;gea=aa%10;//交通燈計數參量shib=bb/10; geb=bb%10;shi1=num1/1000;ge1=(num1%1000)/100;shi2=((num1%1000)%100)/10; //A車道車流量計數參量ge2=((num1%1000)%100)%10;shi3=num2/1000;ge3=(num2%1000)/100;shi4=((num2%1000)%100)/10; //A車道闖紅燈計數參量ge4=((num2%1000)%100)%10;/**********************************************/if(n1==1&&n2==1){display(shia,gea,shib,geb);}//調用顯示函數,顯示交通燈計數elseif(n1==0&&n2==1){display(shi1,ge1,shi2,ge2);}//調用顯示函數,顯示車流量計數elseif(n1==1&&n2==0){display(shi3,ge3,shi4,ge4);}//調用顯示函數,顯示闖紅燈計數if(s1==0){EA=0;n1=0;}elseif(s1==1) //車流量數碼管顯示判斷{n1=1; EA=1;}if(s4==0){n2=0;EA=0;}elseif(s4==1) //闖紅燈數碼管顯示判斷{n2=1;EA=1;}/*****************************************************/if(ga==0&&ra==1&&ya==1) //傳感檢測流量{if(chuangan==0){m1=0;}h1=0;if(h1==0&&h2==0&&h3==0){h4=0; h1=1; h2=1; h3=1; }}elseif(ga==1&&ra==1&&ya==0) //傳感檢測流量{if(chuangan==0){m3=0;}h2=0;}elseif(ra==0&&ga==1&&ya==1)//傳感檢測闖紅燈{h3=0;if(chuangan==0){m2=0; beep=0;led=0;delay(20); }}if(chuangan==1&&m1==0){m1=1; num1++;}if(chuangan==1&&m2==0){m2=1; num2++;beep=1;led=1;delay(20);}/**************************************************/if(fuwei==0) //復位按鍵檢測{ n=0;ET0=0;aa=0;bb=0;traf(1,1,1,1,1,1);tt=0;if(qieh==0) //復位狀態(tài)下交通燈模式按鍵切換檢測 {if(ll==1) gg=1; }}/********************************************/if(tt==0&&fuwei==1) //復位后恢復初始狀態(tài){ num1=0;num2=0;if(gg==1){ff=1;aa=30;bb=30;traf(1,1,0,0,1,1);tt=1;gg=0;ll=0;}else{ff=0;aa=20;bb=20;traf(1,1,0,0,1,1);tt=1;}}if(fuwei==1&&n==0) {ET0=1; n=1;}/*********************************************/if(h4==0){h4=1;if(num1>=M) //A車流量大于設定值M時自動切換為主從到模式{m3=0;}else{m3=1;}if(m3==1){aa=0;bb=0;traf(1,1,1,1,1,1); kk=1;if(kk==1){aa=20;bb=20;traf(1,1,0,0,1,1); } }if(m3==0){aa=0;bb=0;traf(1,1,1,1,1,1); kk=1;if(kk==1){aa=30;bb=30;traf(1,1,0,0,1,1); }}num1=0;}/*****************************************************/if(zhant==0)//緊急情況檢測判斷{m=0;if(ra==1&&ya==1&&ga==0&&rb==0&&yb==1&&gb==1){hh1=0;ra=0;ga=1;} //暫停時保存暫停前各個燈的狀態(tài)參數elseif(ra==1&&ya==0&&ga==1&&rb==1&&yb==1&&gb==0){hh2=0;ra=0;ya=1;rb=0;gb=1;}elseif(ra==0&&ya==1&&ga==1&&rb==1&&yb==1&&gb==0){hh3=0;rb=0;gb=1;}elseif(ra==0&&ya==1&&ga==1&&rb==1&&yb==0&&gb==1){hh4=0;rb=0;yb=1;} if(jianc==0) //緊急時LED閃亮,蜂鳴器報警處理。按鍵手檢測工或傳感自動檢測 ee=1; while(ee!=0) {beep=0;led=0;delay(2000);beep=1;led=1;delay(2000); if(jianc==1) ee=0; }ET0=0;}/**************************************************/if(zhant==1){if(m==0){m=1; if(hh1==0&&hh2==1&&hh3==1&&hh4==1) {hh1=1;ra=1;ga=0; } //恢復暫停前各個燈的狀態(tài) if(hh2==0&&hh1==1&&hh3==1&&hh4==1) {hh2=1;ra=1;ya=0;} if(hh3==0&&hh1==1&&hh2==1&&hh4==1) {hh3=1;rb=1;gb=0;} if(hh4==0&&hh1==1&&hh2==1&&hh3==1) {hh4=1;rb=1;yb=0;} ET0=1;}}}} /**************************************************/voidT0_time()interrupt1 //中斷處理函數{TH0=(65536-50000)/256;TL0=(65536-50000)%256;//50ms初值num++;if(num==20){num=0; bb=bb-1; aa=aa-1;if(ff%2==0) //普通模式判斷處理{if(aa!=0&&bb!=0&&cc==1)//A綠20,B紅20{aa=20; bb=20;traf(1,1,0,0,1,1); cc=0; }elseif(aa==0&&bb==0&&dd==1)//A黃5,B綠20{aa=5;bb=20;traf(1,0,1,1,1,0);}else if(aa==0&&bb!=0)// A紅20,B綠15 {aa=20;traf(0,1,1,1,1,0); }else if(aa!=0&&bb==0)//A紅5,B黃5 {bb=5;traf(0,1,1,1,0,1); dd=0; }if(aa==0&&bb==0&&dd==0)//回到初始狀態(tài)A綠20,B紅20 {aa=20;bb=20;traf(1,1,0,0,1,1); dd=1; } }elseif(ff%2==1) //交通燈主從道模式判斷{if(aa!=0&&bb!=0&&cc==1)//A綠30,B紅30{aa=30; bb=30;traf(1,1,0,0,1,1); cc=0; }elseif(aa==0&&bb==0&&dd==1)//A黃5,B綠20{aa=5;bb=20;traf(1,0,1,1,1,0);}else if(aa==0&&bb!=0)// A紅20,B綠15 {aa=20;traf(0,1,1,1,1,0); }else if(aa!=0&&bb==0)//A紅5,B黃5 {bb=5;traf(0,1,1,1,0,1); dd=0; }if(aa==0&&bb==0&&dd==0)//回到初始狀態(tài)A綠30,B紅30 {aa=30;bb=30;traf(1,1,0,0,1,1); dd=1; } }}}/************************************************/voiddelay(uintx) //數碼管掃描延時{ for(i=x;i>0;i--) for(j=110;j>0;j--); }voidtraf(ucharx1,ucharx2,ucharx3,ucharx4,uch

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論