EDA電子教案(第1章)課件_第1頁
EDA電子教案(第1章)課件_第2頁
EDA電子教案(第1章)課件_第3頁
EDA電子教案(第1章)課件_第4頁
EDA電子教案(第1章)課件_第5頁
已閱讀5頁,還剩63頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

EDA技術(shù)與應(yīng)用北華航天工業(yè)學(xué)院電子工程系2010.9...1EDA技術(shù)與應(yīng)用北華航天工業(yè)學(xué)院電子工程系...1第一章EDA技術(shù)概述第一節(jié)EDA技術(shù)的發(fā)展及其未來第二節(jié)EDA技術(shù)的構(gòu)成要素第三節(jié)EDA軟件系統(tǒng)的構(gòu)成第四節(jié)基于可編程邏輯器件的EDA

技術(shù)流程上一頁下一頁...2第一章EDA技術(shù)概述第一節(jié)EDA技術(shù)的發(fā)展及其未來上一頁第一節(jié)EDA技術(shù)的發(fā)展及其未來電子設(shè)計自動化(ElectronicsDesignAutomation)是指利用計算機(jī)來完成電子系統(tǒng)設(shè)計。

根據(jù)系統(tǒng)對硬件的行為和功能的要求,自上而下地逐層完成相應(yīng)的描述、模擬、綜合、優(yōu)化和布線,直到完成硬件設(shè)計。在設(shè)計過程中除了對硬件的行為和功能需要設(shè)計人員進(jìn)行描述以外,其它設(shè)計過程均由計算機(jī)自動完成。這個過程稱為電子設(shè)計自動化(EDA)上一頁下一頁...3第一節(jié)EDA技術(shù)的發(fā)展及其未來電子設(shè)計自動化(Ele一、EDA技術(shù)的發(fā)展進(jìn)程(三個階段)1.電子系統(tǒng)設(shè)計CAD是EDA發(fā)展的初級階段(20世紀(jì)60年代中期—80年代初)。2.電子系統(tǒng)設(shè)計CAE是EDA發(fā)展的中級階段(20世紀(jì)80年代初—90年代初)。3.以可編程器件為核心的EDA技術(shù)是EDA發(fā)展的高級階段(20世紀(jì)90年代以來)上一頁下一頁...4一、EDA技術(shù)的發(fā)展進(jìn)程(三個階段)1.電子系統(tǒng)設(shè)計CAD是缺點(diǎn):(1)各軟件只針對某一具體應(yīng)用而開發(fā),相互銜接比較困難;(2)不能提供系統(tǒng)級的綜合與仿真,難以適應(yīng)復(fù)雜的、大規(guī)模的電子系統(tǒng)設(shè)計,主要面向LSI和PCB設(shè)計。1.CAD階段以二維平面圖形的計算機(jī)輔助設(shè)計軟件為工具,代替?zhèn)鹘y(tǒng)的手工制圖設(shè)計印制電路板(PCB)和集成電路的階段。主要進(jìn)行PCB布局布線、電路模擬與仿真、原理圖的繪制等等。例如:TANGO、SPICE。BACK上一頁下一頁...5缺點(diǎn):(1)各軟件只針對某一具體應(yīng)用而開發(fā),相互銜接比較困難2.CAE階段由于制定了EDA工業(yè)標(biāo)準(zhǔn),采用統(tǒng)一的數(shù)據(jù)管理技術(shù),各種設(shè)計模塊逐漸整合成為一個完整的計算機(jī)輔助工程設(shè)計系統(tǒng)(CAE),結(jié)合完善的電子系統(tǒng)設(shè)計流程,可通過順序循環(huán)完成設(shè)計的全過程。例如:OrCAD和Protel早期的版本

缺點(diǎn):設(shè)計輸入手段以原理圖輸入為主,形式單一,不適應(yīng)大規(guī)模電子系統(tǒng)的設(shè)計。BACK上一頁下一頁...62.CAE階段由于制定了EDA工業(yè)標(biāo)準(zhǔn),采用統(tǒng)一的數(shù)據(jù)管理技3.EDA階段系統(tǒng)設(shè)計的核心是可編程器件的設(shè)計。以邏輯綜合、硬件行為仿真、參數(shù)分析和測試為主要特點(diǎn),設(shè)計輸入形式多樣,出現(xiàn)了用硬件描述語言(HDL)描述設(shè)計輸入的方法。EDA工具是一個開放式的完整的集成設(shè)計環(huán)境,應(yīng)包括電氣原理圖與硬件描述語言輸入、自動布局布線PCB設(shè)計、混合型電路仿真、PLD設(shè)計與下載等功能。不同的EDA工具之間應(yīng)該具有良好的接口特性,從而能夠資源共享。

上一頁下一頁...73.EDA階段系統(tǒng)設(shè)計的核心是可編程器件的設(shè)計。以邏輯綜合、二、EDA技術(shù)的發(fā)展前景可編程模擬器件和數(shù)模混合器件快速發(fā)展;

可編程邏輯器件的集成度、工作電壓、時鐘效率等迅速提高;

EDA技術(shù)的應(yīng)用范圍迅速擴(kuò)大。上一頁下一頁...8二、EDA技術(shù)的發(fā)展前景可編程模擬器件和數(shù)?;旌掀骷焖侔l(fā)展目前主要應(yīng)用在:微控制器技術(shù)(Microcontroller)、專用集成電路芯片設(shè)計(ApplicationSpecificIntegratedCircuit,ASIC)數(shù)字信號處理(DigitalSignalProcess-ing,DSP)。在當(dāng)前,利用EDA技術(shù)進(jìn)行電子系統(tǒng)設(shè)計的最終目標(biāo)是完成專用集成電路芯片(ASIC)的設(shè)計與實(shí)現(xiàn)。BACK上一頁下一頁...9目前主要應(yīng)用在:微控制器技術(shù)(Microcontroller第二節(jié)EDA技術(shù)的構(gòu)成要素1.大規(guī)??删幊唐骷?.硬件描述語言3.軟件開發(fā)環(huán)境4.實(shí)驗(yàn)開發(fā)環(huán)境上一頁下一頁...10第二節(jié)EDA技術(shù)的構(gòu)成要素1.大規(guī)模可編程器件上一頁下1.大規(guī)模可編程器件

—電子系統(tǒng)設(shè)計的載體;可編程器件:由用戶編程以實(shí)現(xiàn)某種電子電路功能的器件。可編程器件可編程邏輯器件(PLD)(ProgrammableLogicDevice)可編程模擬器件(PAC)(ProgrammableAnalogCircuit)上一頁下一頁...111.大規(guī)??删幊唐骷?/p>

...12...12PLD低密度PLD高密度PLD可編程陣列邏輯(PAL)通用陣列邏輯(GAL)復(fù)雜可編程邏輯器件(CPLD)現(xiàn)場可編程門陣列(FPGA)在系統(tǒng)可編程邏輯器件(isp-PLD)PAC在系統(tǒng)可編程模擬器件(isp-PAC)BACK上一頁下一頁...13PLD低密度PLD高密度PLD可編程陣列邏輯(PAL)通用陣2.硬件描述語言

—電子系統(tǒng)設(shè)計的主要表達(dá)手段電子設(shè)計自動化(EDA)技術(shù)要求用硬件描述語言(HardwareDescriptionLanguage,HDL

)來進(jìn)行硬件的行為(信號連接關(guān)系、定時關(guān)系)和功能(邏輯功能)的描述。

常見的HDL語言:VHDL語言、VerilogHDL語言等。上一頁下一頁...142.硬件描述語言

—電子系統(tǒng)...15...15HDL語言的特點(diǎn):(1)具有較強(qiáng)的電路描述能力;(2)易于傳遞、修改、保存、重復(fù)

利用設(shè)計;(3)易于層次化和結(jié)構(gòu)化設(shè)計;(4)與具體硬件電路的設(shè)計平臺無關(guān)。BACK上一頁下一頁...16HDL語言的特點(diǎn):(1)具有較強(qiáng)的電路描述能力;BACK上一3.軟件開發(fā)環(huán)境

—電子系統(tǒng)設(shè)計的軟件平臺MAX+PlusII和QuartusII

Altera公司的產(chǎn)品,業(yè)界最易學(xué)易用的EDA軟件,F(xiàn)PGA/CPLD開發(fā)集成環(huán)境,支持Altera公司大多數(shù)的FPGA/CPLD器件。ispEXPERT——LatticeFoundation——XilinxPAC-Designer——LatticeBACK上一頁下一頁...173.軟件開發(fā)環(huán)境

...18...18...19...19...20...204.實(shí)驗(yàn)開發(fā)系統(tǒng)

—電子系統(tǒng)設(shè)計的下載與硬件驗(yàn)證工具提供FPGA/CPLD芯片下載電路及EDA實(shí)驗(yàn)、開發(fā)環(huán)境的外圍資源,以供硬件驗(yàn)證用。一般包括:(1)實(shí)驗(yàn)開發(fā)所需的各類基本信號發(fā)生模塊(時鐘、脈沖、電平等)(2)通用數(shù)字式和掃描驅(qū)動類型接口(3)模擬器件及接口(4)監(jiān)控程序模塊(5)目標(biāo)芯片適配座,以及FPGA/CPLD目標(biāo)芯片和編程下載電路等。上一頁下一頁BACK...214.實(shí)驗(yàn)開發(fā)系統(tǒng)

—電子系統(tǒng)設(shè)計的下載與硬件驗(yàn)...22...22...23...23...24...24...25...25...26...26第三節(jié)EDA軟件系統(tǒng)的構(gòu)成EDA軟件系統(tǒng)的基本結(jié)構(gòu)大致包括:EDA軟件系統(tǒng)設(shè)計輸入模塊設(shè)計數(shù)據(jù)庫模塊分析驗(yàn)證模塊綜合仿真模塊布局布線模塊上一頁下一頁...27第三節(jié)EDA軟件系統(tǒng)的構(gòu)成EDA軟件系統(tǒng)的基本結(jié)構(gòu)大致一、設(shè)計輸入模塊接受用戶不同的設(shè)計輸入表達(dá)方式(原理圖輸入、波形輸入、層次輸入、HDL輸入等),并進(jìn)行語義正確性和語法規(guī)范性的檢查。然后將用戶設(shè)計描述數(shù)據(jù)轉(zhuǎn)換為EDA軟件系統(tǒng)內(nèi)部數(shù)據(jù)格式(即網(wǎng)表文件,如EDIF文件),存入設(shè)計數(shù)據(jù)庫,以便于其它模塊調(diào)用。設(shè)計輸入模塊一般包括對應(yīng)于各種設(shè)計輸入方式的編輯器和分析器。上一頁下一頁...28一、設(shè)計輸入模塊接受用戶不同的設(shè)計輸入表達(dá)方式(原理圖輸入、二、設(shè)計輸入數(shù)據(jù)庫保存系統(tǒng)庫單元以及用戶設(shè)計描述數(shù)據(jù)(網(wǎng)表文件)。三、分析驗(yàn)證模塊進(jìn)行模擬驗(yàn)證、設(shè)計規(guī)則檢查、故障診斷等。上一頁下一頁...29二、設(shè)計輸入數(shù)據(jù)庫保存系統(tǒng)庫單元以及用戶設(shè)計描述數(shù)據(jù)(網(wǎng)表文四、綜合仿真模塊所謂綜合,就是將用行為和功能層次表達(dá)的電子系統(tǒng)轉(zhuǎn)換為低層次的便于具體實(shí)現(xiàn)的模塊組合裝配而成的過程。也就是把軟件設(shè)計的HDL描述與硬件結(jié)構(gòu)掛鉤,是實(shí)現(xiàn)軟件轉(zhuǎn)化為硬件的關(guān)鍵,是文字描述與硬件實(shí)現(xiàn)之間的一座橋梁。

所謂仿真,就是利用EDA工具對綜合后的結(jié)果進(jìn)行模擬測試的過程。上一頁下一頁...30四、綜合仿真模塊所謂綜合,就是將用行為和功能層次表達(dá)的電子系五、布局布線模塊實(shí)現(xiàn)由邏輯設(shè)計到物理實(shí)現(xiàn)的映射,與物理實(shí)現(xiàn)方式密切相關(guān)。其功能是將綜合產(chǎn)生的網(wǎng)表文件配置于制定的目標(biāo)器件,也稱為適配器。上一頁下一頁BACK...31五、布局布線模塊實(shí)現(xiàn)由邏輯設(shè)計到物理實(shí)現(xiàn)的映射,與物理實(shí)現(xiàn)方第四節(jié)基于可編程邏輯器件的EDA技術(shù)流程設(shè)計準(zhǔn)備行為仿真功能仿真時序仿真硬件仿真器件測試設(shè)計輸入項(xiàng)目文件編譯設(shè)計實(shí)現(xiàn)器件編程與配置上一頁下一頁...32第四節(jié)基于可編程邏輯器件的EDA技術(shù)流程設(shè)計準(zhǔn)備行為仿作業(yè):1.簡述基于可編程器件的EDA技術(shù)的構(gòu)成要素有哪些?2.簡述基于可編程器件的EDA技術(shù)的設(shè)計流程。...33作業(yè):...33本章結(jié)束BACK...34本章結(jié)束BACK...34EDA技術(shù)與應(yīng)用北華航天工業(yè)學(xué)院電子工程系2010.9...35EDA技術(shù)與應(yīng)用北華航天工業(yè)學(xué)院電子工程系...1第一章EDA技術(shù)概述第一節(jié)EDA技術(shù)的發(fā)展及其未來第二節(jié)EDA技術(shù)的構(gòu)成要素第三節(jié)EDA軟件系統(tǒng)的構(gòu)成第四節(jié)基于可編程邏輯器件的EDA

技術(shù)流程上一頁下一頁...36第一章EDA技術(shù)概述第一節(jié)EDA技術(shù)的發(fā)展及其未來上一頁第一節(jié)EDA技術(shù)的發(fā)展及其未來電子設(shè)計自動化(ElectronicsDesignAutomation)是指利用計算機(jī)來完成電子系統(tǒng)設(shè)計。

根據(jù)系統(tǒng)對硬件的行為和功能的要求,自上而下地逐層完成相應(yīng)的描述、模擬、綜合、優(yōu)化和布線,直到完成硬件設(shè)計。在設(shè)計過程中除了對硬件的行為和功能需要設(shè)計人員進(jìn)行描述以外,其它設(shè)計過程均由計算機(jī)自動完成。這個過程稱為電子設(shè)計自動化(EDA)上一頁下一頁...37第一節(jié)EDA技術(shù)的發(fā)展及其未來電子設(shè)計自動化(Ele一、EDA技術(shù)的發(fā)展進(jìn)程(三個階段)1.電子系統(tǒng)設(shè)計CAD是EDA發(fā)展的初級階段(20世紀(jì)60年代中期—80年代初)。2.電子系統(tǒng)設(shè)計CAE是EDA發(fā)展的中級階段(20世紀(jì)80年代初—90年代初)。3.以可編程器件為核心的EDA技術(shù)是EDA發(fā)展的高級階段(20世紀(jì)90年代以來)上一頁下一頁...38一、EDA技術(shù)的發(fā)展進(jìn)程(三個階段)1.電子系統(tǒng)設(shè)計CAD是缺點(diǎn):(1)各軟件只針對某一具體應(yīng)用而開發(fā),相互銜接比較困難;(2)不能提供系統(tǒng)級的綜合與仿真,難以適應(yīng)復(fù)雜的、大規(guī)模的電子系統(tǒng)設(shè)計,主要面向LSI和PCB設(shè)計。1.CAD階段以二維平面圖形的計算機(jī)輔助設(shè)計軟件為工具,代替?zhèn)鹘y(tǒng)的手工制圖設(shè)計印制電路板(PCB)和集成電路的階段。主要進(jìn)行PCB布局布線、電路模擬與仿真、原理圖的繪制等等。例如:TANGO、SPICE。BACK上一頁下一頁...39缺點(diǎn):(1)各軟件只針對某一具體應(yīng)用而開發(fā),相互銜接比較困難2.CAE階段由于制定了EDA工業(yè)標(biāo)準(zhǔn),采用統(tǒng)一的數(shù)據(jù)管理技術(shù),各種設(shè)計模塊逐漸整合成為一個完整的計算機(jī)輔助工程設(shè)計系統(tǒng)(CAE),結(jié)合完善的電子系統(tǒng)設(shè)計流程,可通過順序循環(huán)完成設(shè)計的全過程。例如:OrCAD和Protel早期的版本

缺點(diǎn):設(shè)計輸入手段以原理圖輸入為主,形式單一,不適應(yīng)大規(guī)模電子系統(tǒng)的設(shè)計。BACK上一頁下一頁...402.CAE階段由于制定了EDA工業(yè)標(biāo)準(zhǔn),采用統(tǒng)一的數(shù)據(jù)管理技3.EDA階段系統(tǒng)設(shè)計的核心是可編程器件的設(shè)計。以邏輯綜合、硬件行為仿真、參數(shù)分析和測試為主要特點(diǎn),設(shè)計輸入形式多樣,出現(xiàn)了用硬件描述語言(HDL)描述設(shè)計輸入的方法。EDA工具是一個開放式的完整的集成設(shè)計環(huán)境,應(yīng)包括電氣原理圖與硬件描述語言輸入、自動布局布線PCB設(shè)計、混合型電路仿真、PLD設(shè)計與下載等功能。不同的EDA工具之間應(yīng)該具有良好的接口特性,從而能夠資源共享。

上一頁下一頁...413.EDA階段系統(tǒng)設(shè)計的核心是可編程器件的設(shè)計。以邏輯綜合、二、EDA技術(shù)的發(fā)展前景可編程模擬器件和數(shù)?;旌掀骷焖侔l(fā)展;

可編程邏輯器件的集成度、工作電壓、時鐘效率等迅速提高;

EDA技術(shù)的應(yīng)用范圍迅速擴(kuò)大。上一頁下一頁...42二、EDA技術(shù)的發(fā)展前景可編程模擬器件和數(shù)模混合器件快速發(fā)展目前主要應(yīng)用在:微控制器技術(shù)(Microcontroller)、專用集成電路芯片設(shè)計(ApplicationSpecificIntegratedCircuit,ASIC)數(shù)字信號處理(DigitalSignalProcess-ing,DSP)。在當(dāng)前,利用EDA技術(shù)進(jìn)行電子系統(tǒng)設(shè)計的最終目標(biāo)是完成專用集成電路芯片(ASIC)的設(shè)計與實(shí)現(xiàn)。BACK上一頁下一頁...43目前主要應(yīng)用在:微控制器技術(shù)(Microcontroller第二節(jié)EDA技術(shù)的構(gòu)成要素1.大規(guī)??删幊唐骷?.硬件描述語言3.軟件開發(fā)環(huán)境4.實(shí)驗(yàn)開發(fā)環(huán)境上一頁下一頁...44第二節(jié)EDA技術(shù)的構(gòu)成要素1.大規(guī)??删幊唐骷弦豁撓?.大規(guī)模可編程器件

—電子系統(tǒng)設(shè)計的載體;可編程器件:由用戶編程以實(shí)現(xiàn)某種電子電路功能的器件??删幊唐骷删幊踢壿嬈骷≒LD)(ProgrammableLogicDevice)可編程模擬器件(PAC)(ProgrammableAnalogCircuit)上一頁下一頁...451.大規(guī)模可編程器件

...46...12PLD低密度PLD高密度PLD可編程陣列邏輯(PAL)通用陣列邏輯(GAL)復(fù)雜可編程邏輯器件(CPLD)現(xiàn)場可編程門陣列(FPGA)在系統(tǒng)可編程邏輯器件(isp-PLD)PAC在系統(tǒng)可編程模擬器件(isp-PAC)BACK上一頁下一頁...47PLD低密度PLD高密度PLD可編程陣列邏輯(PAL)通用陣2.硬件描述語言

—電子系統(tǒng)設(shè)計的主要表達(dá)手段電子設(shè)計自動化(EDA)技術(shù)要求用硬件描述語言(HardwareDescriptionLanguage,HDL

)來進(jìn)行硬件的行為(信號連接關(guān)系、定時關(guān)系)和功能(邏輯功能)的描述。

常見的HDL語言:VHDL語言、VerilogHDL語言等。上一頁下一頁...482.硬件描述語言

—電子系統(tǒng)...49...15HDL語言的特點(diǎn):(1)具有較強(qiáng)的電路描述能力;(2)易于傳遞、修改、保存、重復(fù)

利用設(shè)計;(3)易于層次化和結(jié)構(gòu)化設(shè)計;(4)與具體硬件電路的設(shè)計平臺無關(guān)。BACK上一頁下一頁...50HDL語言的特點(diǎn):(1)具有較強(qiáng)的電路描述能力;BACK上一3.軟件開發(fā)環(huán)境

—電子系統(tǒng)設(shè)計的軟件平臺MAX+PlusII和QuartusII

Altera公司的產(chǎn)品,業(yè)界最易學(xué)易用的EDA軟件,F(xiàn)PGA/CPLD開發(fā)集成環(huán)境,支持Altera公司大多數(shù)的FPGA/CPLD器件。ispEXPERT——LatticeFoundation——XilinxPAC-Designer——LatticeBACK上一頁下一頁...513.軟件開發(fā)環(huán)境

...52...18...53...19...54...204.實(shí)驗(yàn)開發(fā)系統(tǒng)

—電子系統(tǒng)設(shè)計的下載與硬件驗(yàn)證工具提供FPGA/CPLD芯片下載電路及EDA實(shí)驗(yàn)、開發(fā)環(huán)境的外圍資源,以供硬件驗(yàn)證用。一般包括:(1)實(shí)驗(yàn)開發(fā)所需的各類基本信號發(fā)生模塊(時鐘、脈沖、電平等)(2)通用數(shù)字式和掃描驅(qū)動類型接口(3)模擬器件及接口(4)監(jiān)控程序模塊(5)目標(biāo)芯片適配座,以及FPGA/CPLD目標(biāo)芯片和編程下載電路等。上一頁下一頁BACK...554.實(shí)驗(yàn)開發(fā)系統(tǒng)

—電子系統(tǒng)設(shè)計的下載與硬件驗(yàn)...56...22...57...23...58...24...59...25...60...26第三節(jié)EDA軟件系統(tǒng)的構(gòu)成EDA軟件系統(tǒng)的基本結(jié)構(gòu)大致包括:EDA軟件系統(tǒng)設(shè)計輸入模塊設(shè)計數(shù)據(jù)庫模塊分析驗(yàn)證模塊綜合仿真模塊布局布線模塊上一頁下一頁...61第三節(jié)EDA軟件系統(tǒng)的構(gòu)成EDA軟件系統(tǒng)的基本結(jié)構(gòu)大致一、設(shè)計輸入模塊接受用戶不同的設(shè)計輸入表達(dá)方式(原理圖輸入、

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論