課程設(shè)計(jì)-基于單片機(jī)的十字路口交通燈設(shè)計(jì)_第1頁(yè)
課程設(shè)計(jì)-基于單片機(jī)的十字路口交通燈設(shè)計(jì)_第2頁(yè)
課程設(shè)計(jì)-基于單片機(jī)的十字路口交通燈設(shè)計(jì)_第3頁(yè)
課程設(shè)計(jì)-基于單片機(jī)的十字路口交通燈設(shè)計(jì)_第4頁(yè)
課程設(shè)計(jì)-基于單片機(jī)的十字路口交通燈設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩34頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

摘要近年來(lái)隨著科技的飛速開(kāi)展,單片機(jī)的應(yīng)用正在不斷深入,同時(shí)帶動(dòng)傳統(tǒng)控制檢測(cè)技術(shù)日益更新,因此也運(yùn)用到了交通信號(hào)燈控制系統(tǒng)中。單片機(jī)滲透到我們生活的各個(gè)領(lǐng)域,幾乎很難找到哪個(gè)領(lǐng)域沒(méi)有單片機(jī)的蹤跡。因此,單片機(jī)的學(xué)習(xí)、開(kāi)發(fā)與應(yīng)用將造就一批計(jì)算機(jī)應(yīng)用與智能化控制的科學(xué)家、工程師??萍荚脚d旺,智能化的東西就越多,使用的單片機(jī)就越多。看來(lái)學(xué)單片機(jī)是社會(huì)開(kāi)展的需求。本設(shè)計(jì)由單片機(jī)AT89S52芯片和LED數(shù)碼管為核心,輔以必要的電路,構(gòu)成了一個(gè)單片機(jī)交通燈。引入了電子設(shè)計(jì)自動(dòng)化技術(shù),運(yùn)用了Proteus單片機(jī)仿真軟件和Keil軟件,設(shè)計(jì)并實(shí)現(xiàn)本次的交通信號(hào)單片機(jī)控制系統(tǒng)。關(guān)鍵詞:交通燈;AT89S52;LED顯示ABSTRACTAstechnologyevolvedinrecentyears,itismonolithicintegratedcircuits,andpromotethetraditionaltest,controltechnologyisthereforealsoapplytothetrafficsignalcontrolsystem.monolithicintegratedcircuitscometopervadeeveryaspectofourlives,itisdifficulttofindwhatfielddidnotmonolithicintegratedcircuitsofthebox.Therefore,monolithicintegratedcircuits,exploitationandapplicationofthestudywillmakeanumberofcomputerapplicationsandtheintelligentcontrolofthescientistsandengineers.themoreadvancedtechnology,themoreintelligent.Keywords:trafficlights;at89s52;leddisplay

目錄1設(shè)計(jì)要求及總體方案介紹 51.1設(shè)計(jì)課題任務(wù) 51.2功能要求說(shuō)明 51.3方案介紹及工作原理 52硬件系統(tǒng)的設(shè)計(jì) 72.1硬件系統(tǒng)各模塊功能介紹 72.1.1顯示電路設(shè)計(jì) 72.1.2發(fā)光二極管指示燈電路 72.1.3鍵盤(pán)控制電路 72.1.4數(shù)碼管電路 82.1.5下載口電路 82.1.6流水燈電路 82.2電路原理圖 82.3電路PCB圖 82.4元器件布局圖 82.5元器件清單 92.6硬件測(cè)試 92.7所做實(shí)物圖 93軟件系統(tǒng)設(shè)計(jì) 103.1單片機(jī)資源使用情況 103.2軟件系統(tǒng)各模塊功能 103.2.1顯示P.模塊 103.2.2亮燈模塊 103.2.3顯示模塊 103.2.4緊急按鈕模塊 113.2.5延時(shí)模塊 113.2.6鍵處理和鍵掃描程序 113.3主程序設(shè)計(jì) 113.4程序流程圖 133.5交通燈程序清單 154設(shè)計(jì)總結(jié) 164.1使用說(shuō)明 164.2交通燈運(yùn)行圖 164.3設(shè)計(jì)體會(huì) 16結(jié)束語(yǔ)……………18致謝………………….19參考文獻(xiàn)…………….20附錄A21附錄B………………..22附錄C………………..23附錄D………………..24附錄E………………..25附錄F…………………331設(shè)計(jì)要求及總體方案介紹1.1設(shè)計(jì)課題任務(wù)設(shè)計(jì)一個(gè)具有特定功能的十字路口交通燈。該交通燈上電或按鍵復(fù)位后能自動(dòng)顯示系統(tǒng)提示符“P.〞,進(jìn)入準(zhǔn)備工作狀態(tài)。按開(kāi)始鍵那么開(kāi)始工作,按結(jié)束鍵那么返回“P.〞狀態(tài)。要求甲車(chē)道和乙車(chē)道兩條交叉道路上的車(chē)輛交替運(yùn)行,甲車(chē)道為主車(chē)道,每次通車(chē)時(shí)間為60秒,乙車(chē)道為次車(chē)道,每次通車(chē)時(shí)間為30秒,要求黃燈亮3秒,并且1秒閃爍一次。有應(yīng)急車(chē)輛出現(xiàn)時(shí),紅燈全亮,應(yīng)急車(chē)輛通車(chē)時(shí)間10秒,同時(shí)禁止其他車(chē)輛通過(guò)。功能要求說(shuō)明本次課程設(shè)計(jì)所做的交通燈所實(shí)現(xiàn)的功能有:1、在系統(tǒng)上電或按鍵復(fù)位后顯示“P.〞,進(jìn)入準(zhǔn)備工作狀態(tài)。2、按開(kāi)始鍵開(kāi)始工作,按結(jié)束鍵那么返回“P.〞狀態(tài)。3、甲車(chē)道和乙車(chē)道兩條交叉道路上的車(chē)輛交替運(yùn)行,且甲為主車(chē)道,乙為次車(chē)道;其中主車(chē)道通行時(shí)間為60s,次車(chē)道通行時(shí)間為30s;黃燈亮三秒,并閃爍1s。4、出現(xiàn)緊急車(chē)輛時(shí),要求紅燈全亮,緊急車(chē)輛通車(chē)時(shí)間為10s,同時(shí)禁止其他車(chē)輛出行。1.3方案介紹及工作原理本設(shè)計(jì)采用一主〔甲〕車(chē)道〔東西方向〕,一次〔乙〕車(chē)道〔南北方向〕的路口,主車(chē)道的通行時(shí)間為60s〔為次車(chē)道的2倍〕。在正常情況下,兩車(chē)道的交通燈按表1.1進(jìn)行轉(zhuǎn)換,并以倒計(jì)數(shù)的方式將剩余時(shí)間顯示在每個(gè)干道對(duì)應(yīng)的兩位LED上;另發(fā)揮局部主要有:1.當(dāng)按下開(kāi)始鍵后,系統(tǒng)才開(kāi)始工作2.當(dāng)按結(jié)束鍵時(shí),系統(tǒng)返回P.狀態(tài),結(jié)束鍵松開(kāi)那么恢復(fù)原來(lái)狀態(tài);3.當(dāng)出現(xiàn)緊急情況時(shí),路口的交通燈全為紅燈,控制碼為CF,時(shí)間為10s,緊急情況解除時(shí),恢復(fù)到原來(lái)的狀態(tài)。交通燈系統(tǒng)結(jié)構(gòu)如圖1如示。表1交通燈狀態(tài)表次〔乙〕車(chē)道主〔甲〕車(chē)道剩余口線控制碼狀態(tài)說(shuō)明P0.7P0.6P0.5P0.4P0.3P0.2P0.1P0.0綠燈黃燈紅燈紅燈黃燈綠燈——11011011DB主車(chē)道綠燈亮次車(chē)道紅燈亮57s11010111D7主車(chē)道黃燈閃,次車(chē)道紅燈亮3s011011116F10101111AF主車(chē)道紅燈亮,次車(chē)道綠燈亮27s11101111EF主車(chē)道紅燈亮,次車(chē)道黃燈閃3s圖1交通燈系統(tǒng)結(jié)構(gòu)圖2硬件系統(tǒng)的設(shè)計(jì)2.1硬件系統(tǒng)各模塊功能介紹2.1.1顯示電路設(shè)計(jì)單片機(jī)應(yīng)用系統(tǒng)最常用的顯示器是LED〔發(fā)光二極管顯示器〕、LED〔液晶顯示器〕。這兩種顯示器可顯示數(shù)字、字符及系統(tǒng)的狀態(tài)。它們的驅(qū)動(dòng)電路簡(jiǎn)單、易于實(shí)現(xiàn)且價(jià)格低廉,因此,得到了廣泛應(yīng)用。本次設(shè)計(jì)要顯示數(shù)字倒計(jì)時(shí),用數(shù)碼管動(dòng)態(tài)顯示。將LED顯示器各位數(shù)碼管的所有段控端相應(yīng)地并聯(lián)在一起,由P0的8個(gè)口線控制,形成段選線多路復(fù)用,而各位數(shù)碼管的共陽(yáng)極實(shí)現(xiàn)各位的分時(shí)選通。共陽(yáng)極數(shù)碼管字形代碼表如表2所示。表2共陽(yáng)極數(shù)碼管字形代碼表字型共陽(yáng)代碼字型共陽(yáng)代碼0C0H682H1F9H7F8H2A4H880H3B0H990H499HP.0CH592H滅FFH2.1.2發(fā)光二極管指示燈電路該電路局部是交通信號(hào)燈的主要顯示局部。采用發(fā)光二極管構(gòu)成,按交通規(guī)劃分紅、黃、綠三種顏色。發(fā)光二極管直接與單片機(jī)的I/O端口相連,綠燈和黃燈控制器還有紅燈控制器的控制信號(hào)都是由秒脈沖發(fā)生器給出的。2.1.3鍵盤(pán)控制電路鍵盤(pán)是最常用的輸入設(shè)備,是實(shí)現(xiàn)人機(jī)對(duì)話的紐帶。按其結(jié)構(gòu)形式可分為非編碼鍵盤(pán)和編碼鍵盤(pán)。編碼鍵盤(pán)采用硬件方法產(chǎn)生鍵碼。每按下一個(gè)鍵,鍵盤(pán)能自動(dòng)生成鍵盤(pán)代碼,鍵數(shù)較多,且具有去抖動(dòng)功能。這種鍵盤(pán)使用方便,但硬件較復(fù)雜。非編碼鍵盤(pán)僅提供按鍵開(kāi)關(guān)工作狀態(tài),其鍵碼由軟件確定,這種鍵盤(pán)鍵數(shù)較少,硬件簡(jiǎn)單,廣泛應(yīng)用于各種單片機(jī)應(yīng)用系統(tǒng),在單片機(jī)控制電路中,可把單片機(jī)使用的鍵盤(pán)分為獨(dú)立式和矩陣式兩種。獨(dú)立式實(shí)際上就是一組獨(dú)立的按鍵,這些按鍵可直接與單片機(jī)的I/O口連接,即每個(gè)按鍵獨(dú)占一條口線,這種接法簡(jiǎn)單。矩陣式鍵盤(pán)也稱行列式鍵盤(pán),因?yàn)殒I的數(shù)目較多,所以鍵按行列組成矩陣。本設(shè)計(jì)中鍵盤(pán)數(shù)目較少,且為安裝方便,因此在本設(shè)計(jì)中采用獨(dú)立式接法。按從一個(gè)鍵到鍵的功能被執(zhí)行主要應(yīng)包括兩項(xiàng)工作:一是鍵的識(shí)別,即在鍵盤(pán)中找出被按的是哪個(gè)鍵,另一項(xiàng)為哪一項(xiàng)鍵功能的實(shí)現(xiàn)。第一項(xiàng)工作是使用接口電路實(shí)現(xiàn)的,而第二項(xiàng)工作那么是通過(guò)執(zhí)行中斷效勞程序來(lái)完成。具體來(lái)說(shuō),鍵盤(pán)接口應(yīng)完成以下操作功能:(1)鍵盤(pán)掃描,以判定是否有鍵被按下〔稱之為“閉合鍵〞〕。(2)鍵識(shí)別,以確定閉合鍵的行列位置。(3)產(chǎn)生閉合鍵的鍵碼。(4)排除多鍵、串鍵〔復(fù)鍵〕及去抖動(dòng)。以上這些內(nèi)容通常是以軟硬件結(jié)合的方式來(lái)完成的,即在軟件的配合下由接口電路來(lái)完成。但具體哪些由硬件哪些由軟件完成,要看接口電路的情況。總的原那么是,硬件復(fù)雜軟件就簡(jiǎn)單,硬件簡(jiǎn)單軟件就得復(fù)雜一些。2.1.4數(shù)碼管電路數(shù)碼管的段控口接在單片機(jī)的P0口上,位控通過(guò)三極管接在單片機(jī)的P2口上。2.1.5下載口電路下載口的1腳接在P1.5口,2腳懸空,3腳接在單片機(jī)的復(fù)位口上,4腳接P1.6口,5腳接P1.7口,6腳接地,7、8、8、10腳接地。2.1.6流水燈電路流水燈電路直接掛接在單片機(jī)的P3口上,采用共陽(yáng)接法。蜂鳴器接在P3.1口上。2.2電路原理圖原理圖見(jiàn)附錄A。2.3電路PCB圖電路PCB圖見(jiàn)附錄B所示。2.4元器件布局圖元器件布局圖見(jiàn)附錄C。2.5元器件清單元器件清單見(jiàn)附錄D所示。2.6硬件測(cè)試實(shí)物做好后,使用USB下載線連接單片機(jī)的下載口和電腦的USB口,把老師給的測(cè)試程序編入單片機(jī)中,檢查是否各模塊的功能顯示正常。假設(shè)有問(wèn)題,那么需對(duì)實(shí)物進(jìn)行檢查并修改。2.7所做實(shí)物圖所做實(shí)物圖如圖2所示圖2所做實(shí)物圖3軟件系統(tǒng)設(shè)計(jì)3.1單片機(jī)資源使用情況⑴、P3口為二極管的控制端⑵、P0口用作地址/數(shù)據(jù)總線⑶、P2口用作地址/數(shù)據(jù)總線⑷、P1.0、P1.1、P1.2、P1.3口線作為鍵盤(pán)輸入端既在AT89S52的P3口用來(lái)接八個(gè)發(fā)光二極管的陰極,控制其亮與滅,P0口和P2口外接由8個(gè)LED數(shù)碼管構(gòu)成的顯示器,用P0口作LED的段碼輸出口〔P0.0~P0.7對(duì)應(yīng)于LED的a~dp〕,P2口作LED的位控輸出線,P2口外接四個(gè)個(gè)按鍵A、B、C、D〔分別對(duì)應(yīng)于P1.0、P1.1、P1.2、P1.3口〕用于調(diào)整顯示接口電路。3.2軟件系統(tǒng)各模塊功能3.2.1顯示P.模塊該模塊的功能是在單片機(jī)上電或者復(fù)位后,在數(shù)碼管上顯示“P.〞,此時(shí)系統(tǒng)處于等待工作狀態(tài)。在按下開(kāi)始按鈕后,跳出此模塊,進(jìn)入正常的工作狀態(tài)。3.2.2亮燈模塊該模塊的功能是控制交通燈的亮與滅,在程序中,先控制主路的綠燈亮57S,再黃燈閃爍3秒,同時(shí)支路上的紅燈亮60S;再控制支路上的綠燈亮27S,再黃燈閃爍3秒,同時(shí)主路上的紅燈亮30S。正常情況下,隨著主程序循環(huán)。當(dāng)緊急按鈕按下后,控制兩個(gè)路口的紅燈同時(shí)亮十秒,待緊急時(shí)間過(guò)去,主動(dòng)跳出至原來(lái)位置。3.2.3顯示模塊該模塊的功能是主路和支路上的時(shí)間顯示。當(dāng)系統(tǒng)開(kāi)始運(yùn)行時(shí),同時(shí)在主路和支路上顯示57S的倒計(jì)時(shí),待57S過(guò)后,同時(shí)在主路和支路上顯示27S的倒計(jì)時(shí),正常情況下,隨著主程序循環(huán)。當(dāng)按下緊急按鈕之后,同時(shí)在主路和支路上顯示10S的倒計(jì)時(shí),之后自動(dòng)跳出。3.2.4緊急按鈕模塊該模塊的功能是在出現(xiàn)緊急情況下,按下緊急按鈕,送入單片機(jī)信號(hào),系統(tǒng)進(jìn)入緊急狀態(tài),在數(shù)碼管顯示10S倒計(jì)時(shí),同時(shí)主路和支路的紅燈都亮。待10S過(guò)后,自動(dòng)跳出。3.2.5延時(shí)模塊該模塊的功能是為其他各模塊提供延時(shí)。不管是數(shù)碼管顯示的延時(shí),紅綠燈亮的延時(shí),黃燈的閃爍,都需要此模塊來(lái)提供延時(shí)。3.2.6鍵處理和鍵掃描程序鍵處理程序主要是將從P1口取值送往單片機(jī)進(jìn)行取反。鍵掃描程序主要是判斷是否有鍵按下,即度過(guò)前沿抖動(dòng)之后再判斷是否有鍵按下,如果確實(shí)有鍵按下再判斷是否釋放,同樣也要度過(guò)后沿抖動(dòng)。最終將處理完的鍵值作為返回值返回。3.3主程序設(shè)計(jì)系統(tǒng)〔上電〕復(fù)位后,進(jìn)入主程序。首先對(duì)系統(tǒng)進(jìn)行初始化,包括設(shè)置各入口地址、中斷的開(kāi)啟、對(duì)各個(gè)數(shù)據(jù)緩存區(qū)清“0〞、賦定時(shí)器初值化,初始化完畢后,就進(jìn)入數(shù)碼管顯示程序。在主程序中還進(jìn)行了賦存放區(qū)的初始值、設(shè)置定時(shí)器初值等操作,當(dāng)定時(shí)時(shí)間到時(shí)就轉(zhuǎn)去執(zhí)行定時(shí)中斷程序。并在執(zhí)行完后返回主程序。具體流程圖見(jiàn)圖3所示。圖3主程序流程圖3.4程序流程圖圖4定時(shí)器中斷流程圖圖5顯示程序流程圖圖6鍵掃描程序流程圖3.5交通燈程序清單交通燈程序清單見(jiàn)附錄E。4設(shè)計(jì)總結(jié)4.1使用說(shuō)明本實(shí)驗(yàn)主要是利用單片機(jī)AT89S52、數(shù)碼管和發(fā)光二極管組成,整個(gè)電路結(jié)構(gòu)比擬簡(jiǎn)單,它能實(shí)現(xiàn)以下幾個(gè)功能:〔1〕時(shí)間的顯示?!?〕紅黃綠燈的發(fā)光與熄滅。具體操作說(shuō)明如下:當(dāng)交通燈上電或按鍵復(fù)位后能自動(dòng)顯示系統(tǒng)提示符“P.〞,進(jìn)入準(zhǔn)備工作狀態(tài)。當(dāng)按下啟動(dòng)按鈕并釋放后,數(shù)碼管顯示將會(huì)從“57〞開(kāi)始倒計(jì)時(shí),每隔一秒減1,此時(shí)南北方向開(kāi)始一直亮綠燈,東西方向一直亮紅燈,直到顯示為“03〞時(shí),數(shù)碼管將會(huì)從“03〞開(kāi)始倒計(jì)時(shí),每隔一秒減1,此時(shí)南北方向每隔一秒黃燈就閃爍一次,東西方向亮一直紅燈,直到顯示為“00〞時(shí),數(shù)碼管將會(huì)從“27〞開(kāi)始倒計(jì)時(shí),此時(shí)南北方向一直亮紅燈,東西方向一直亮綠燈,直到顯示為“03〞時(shí),數(shù)碼管又將從“03〞開(kāi)始倒計(jì)時(shí),此時(shí)南北方向一直亮紅燈,東西方向每隔一秒黃燈就閃爍一次;當(dāng)沒(méi)有其他鍵按下時(shí),交通燈將這樣一直循環(huán)下去。當(dāng)按下結(jié)束鍵并釋放后,數(shù)碼管將顯示“P.〞,東西南北方向無(wú)燈亮,當(dāng)有其它鍵按下時(shí),就退出,去執(zhí)行該鍵的鍵功能。當(dāng)按下緊急鍵并釋放后,數(shù)碼管將顯示“10〞,并且每隔一秒就減1,東西南北方向全部紅燈亮,當(dāng)顯示到“00〞時(shí),就會(huì)自動(dòng)退出中斷繼續(xù)完成主程序。4.2交通燈運(yùn)行圖交通燈運(yùn)行實(shí)拍圖見(jiàn)附錄F。4.3設(shè)計(jì)體會(huì)回憶起此次單片機(jī)課程設(shè)計(jì),我仍感慨頗多,學(xué)到了很多的東西.同時(shí)不僅穩(wěn)固了以前所學(xué)過(guò)的知識(shí),而且還學(xué)到了很多在書(shū)本上所沒(méi)有學(xué)到過(guò)的知識(shí).在實(shí)際設(shè)計(jì)中才發(fā)現(xiàn),書(shū)本上理論性的東西與在實(shí)際運(yùn)用中的還是有一定的出入的,所以有些問(wèn)題不但要深入地理解,而且要不斷地更正以前的錯(cuò)誤思維.一切問(wèn)題必須要靠自己一點(diǎn)一滴的解決,而在解決的過(guò)程當(dāng)中你會(huì)發(fā)現(xiàn)自己在飛速的提升.對(duì)于單片機(jī)設(shè)計(jì),其硬件電路是比擬簡(jiǎn)單的,主要是解決程序設(shè)計(jì)中的問(wèn)題,而程序設(shè)計(jì)是一個(gè)很靈活的東西,它反映了你解決問(wèn)題的邏輯思維和創(chuàng)新能力,它才是一個(gè)設(shè)計(jì)的靈魂所在.因此可以說(shuō)單片機(jī)的設(shè)計(jì)是軟件和硬件的結(jié)合,二者是密不可分的.通過(guò)這次課程設(shè)計(jì)我發(fā)現(xiàn)單片機(jī)原理應(yīng)用行很強(qiáng),只有老師的講解不行,只看也不中,只有自己動(dòng)手去做才會(huì)發(fā)現(xiàn)自己確實(shí)有太多的缺乏,許多的原理,程序看似簡(jiǎn)單,真正去做才知道知識(shí)并沒(méi)有自己想象的那樣扎實(shí).從而懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來(lái),從理論中得出結(jié)論,才能真正為社會(huì)效勞,從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力.而且大大提高了動(dòng)手的能力,使我充分體會(huì)到了在創(chuàng)造過(guò)程中探索的艱難和成功時(shí)的喜悅結(jié)束語(yǔ)將設(shè)計(jì)的程序下載到單片機(jī)中,通過(guò)測(cè)試,根本上完成了任務(wù)書(shū)的要求,并相應(yīng)的完成了十字路口交通燈的控制。通過(guò)本次課程設(shè)計(jì),讓我明白除了要求我們做課程設(shè)計(jì),而最主要的是讓我們能把學(xué)習(xí)中的更加廣泛地運(yùn)用到實(shí)際生活中來(lái)。交通燈的設(shè)計(jì)就是一個(gè)很明顯的例子,以前的我們并不知道交通燈是什么原理而來(lái),可是現(xiàn)在我們知道了。所以,在以后的學(xué)習(xí)中,我們要以把學(xué)習(xí)運(yùn)用到生活中為目標(biāo)。以更好的學(xué)習(xí)來(lái)回報(bào)自己的努力!致謝在這次課程設(shè)計(jì)的完成過(guò)程中,我得到了許多人的幫助。首先我要感謝王老師在課程設(shè)計(jì)上給予我的指導(dǎo)、提供應(yīng)我的支持和幫助,這是我能順利完成這次報(bào)告的主要原因,更重要的是老師幫我解決了許多技術(shù)上的難題,讓我能把系統(tǒng)做得更加完善。在此期間,我不僅學(xué)到了許多新的知識(shí),而且也開(kāi)闊了視野,提高了自己的設(shè)計(jì)能力。其次,我要感謝幫助過(guò)我的同學(xué),他也為我解決了不少我不太明白的設(shè)計(jì)上的難題。同時(shí)也感謝學(xué)院為我提供良好的做畢業(yè)設(shè)計(jì)的環(huán)境。最后再一次感謝所有在設(shè)計(jì)中曾經(jīng)幫助過(guò)我的良師益友和同學(xué)。參考文獻(xiàn)[1]李廣弟,單片機(jī)根底[M],北京航空航天大學(xué)出版社,2007.6。[2]馬忠梅,單片機(jī)的C語(yǔ)言應(yīng)用程序設(shè)計(jì)[M],北京航空航天大學(xué)出版社,2007.1。附錄A電路原理圖附錄B電路PCB圖頂層底層附錄C元器件布局圖附錄D元器件清單器件型號(hào)數(shù)量單片機(jī)AT89S521個(gè)晶振12MHZ1個(gè)電容22uf,33pf假設(shè)干電阻普通假設(shè)干單排針10針接口1個(gè)按鍵普通4個(gè)開(kāi)關(guān)6腳開(kāi)關(guān)1個(gè)數(shù)碼管HSN5642S2個(gè)下載接口10針接口1個(gè)蜂鳴器脈沖式1個(gè)附錄E交通燈程序清單;***************************系統(tǒng)起始程序區(qū)************************* ORG0000HLJMPMAIN ORG 000BH LJMP LOOP*****************************系統(tǒng)監(jiān)控程序區(qū)************************* ORG 0050HMAIN: MOV PSW, #00H MOV TMOD, #01H MOV TH0, #(65536-10000)/256 MOV TL0, #(65536-10000)MOD256 SETB EA SETB ET0 MOVR0,#20H MOVR7,#5FH LOOP1:MOV@R0,#00HINCR0MOV30H,#57 MOV SP, #50H MOV7FH,#11H MOV7EH,#10H MOV7DH, #10H MOV7CH, #10H MOV7BH,#10H MOV7AH, #10H MOV79H, #10H MOV78H, #10H MOVR5,#08HMOVR0,#78HLOOP2: LCALLDIR LCALL KEY JB 20H.0, K0 LJMP LOOP2;*************************子程序區(qū)***********************************************************主干道綠燈亮57秒,次干道亮紅燈*************K0:SETBTR0 MOV 78H, #07H MOV 79H, #05H MOV 7AH, #10H MOV 7BH, #10H MOV 7CH, #10H MOV 7DH, #10H MOV 7EH, #10H MOV 7FH, #10H MOVP3,#0FFH MOV30H,#57 CLRP3.2 CLRP3.3 MOV 33H, #0MOV A, 30H MOV B, #10 DIV AB MOV 79H, A MOV 78H, BK1: LCALL DIR LCALL KEY MOVA,30H JZHUANG JNB 20H.1, PP LCALLJIN PP: JB 20H.2,YTE LJMP K1YTE:LJMPK3;**************************主干道黃燈閃3秒,次干道亮紅燈*************HUANG:MOV30H,#03SETBP3.2 MOV 33H,#0MOV A, 30H MOV B, #10 DIV AB MOV 79H, A MOV 78H, B KJ: LCALL DIR LCALL KEY MOVA,30H JZLV MOVA,33H CJNEA,#50,LIANG CLRP3.1LIANG:CJNEA,#99,LIANG1 SETBP3.1LIANG1:JNB 20H.1, PP1 LCALLJINPP1:JB 20H.2, K3 LJMP KJ;***********************主干道紅燈亮27秒,次干道亮綠燈***************LV: MOV30H,#27 SETBP3.1 CLRP3.0 SETBP3.3 CLRP3.5 MOV 33H, #0MOV A, 30H MOV B, #10 DIV AB MOV 79H, A MOV 78H, B KFC:LCALL DIR LCALL KEY MOVA,30H JZHUANG1 JNB 20H.1,PP2 LCALLJINPP2:JB 20H.2, K3 LJMP KFC;**********************主干道紅燈亮3秒,次干道黃燈閃3秒**************HUANG1:MOV30H,#03SETBP3.5 MOV 33H, #0MOV A, 30H MOV B, #10 DIV AB MOV 79H, A MOV 78H, B JJ: LCALL DIR LCALL KEY MOVA,30H JZLIKE MOVA,33H CJNEA,#50,LIANG2 CLRP3.4LIANG2:CJNEA,#99,LIANG3 SETBP3.4LIANG3:JNB 20H.1,PP3 LCALLJINPP3:JB 20H.2,K3 LJMP JJLIKE:LJMPK0;*****************************返回鍵程序*****************************K3:CLRTR0 LCALLQUANMIAN MOVP3,#0FFHLJMPMAIN;***************************緊急子程序******************************JIN: PUSH33H PUSH30H PUSHP3PUSHACC MOVP3,#0FFHMOV30H,#10 CLRP3.0 CLRP3.3MOV 33H, #0MOV A, 30H MOV B, #10 DIV AB MOV 79H, A MOV 78H, B KG: LCALL DIRMOVA,30H JZHUI LJMP KGHUI:POPACCPOPP3POP30HPOP33HRET;*****************************中斷效勞程序************************** LOOP: PUSH ACC PUSH B PUSH PSW SETB RS1 CLR RS0 MOV TH0, #(65536-10000)/256 MOV TL0,#(65536-10000)MOD256 INC 33H MOVA,33HCJNE A, #100, RE CLRC MOV 33H, #0 DEC 30H MOV A, 30H MOV B, #10 DIV AB MOV 79H, A MOV 78H, B MOVA,30H RE: POP PSW POP B POP ACC RETI;********************數(shù)碼管清屏子程序*******************************QUANMIAN:MOV7FH, #10H MOV7EH, #10H MOV7DH, #10H MOV7CH, #10H MOV7BH, #10H MOV7AH, #10H MOV79H, #10H MOV78H, #10H ACALLDIR RET;*******************************延時(shí)1毫秒子程序*********************DELAY:PUSHPSW SETBRS1SETBRS0MOV R7,#02HDELAY1:MOV R6,#0FFHDELAY2:DJNZ R6,DELAY2 DJNZ R7,DELAY1POPPSW RET;**********************顯示子程序;******************************************入口: 77H,78H,79H,7AH,7BH,7CH,7DH,7EH,7FH,***********DIR:PUSH DPH PUSH DPL PUSH ACC PUSH PSWCLRRS1SETBRS0MOVR1,#78HMOVR2,#0FEHLD0: MOV A,@R1 MOV DPTR,#TABLE MOVC A,@A+DPTR MOVP0,#0FFH MOV P2,R2 MOVP0,#0FFH MOV P0,A LCALL DELAY MOV A,R2 JNB ACC.7,LD1 RL A INC R1 MOV R2,A LJMP LD0LD1: POP PSW POP ACC POP DPL POP DPH RET;*****************************鍵掃描子程序**************************KEY: LCALLKEYCHULI JZEXIT LCALL DIR LCALL DIRLCALLKEYCHULI JZEXIT MOV B, 20H K5: LCALL KEYCHULI JZ K4 LCALL DIR LJMPK5 K4: MOV20H, B EXIT: RET ;*********************P1口數(shù)據(jù)處理子程序****************************KEYCHULI:PUSHPSW CLR RS1 SETB RS0 MOV A, P1 CPL A MOV 20H, A CLR RS1 CLR RS0 POP PSW RET ;******************顯示字符段選碼表(共陽(yáng)極代碼)**********************TABLE:DB0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H ;0-8DB90H,88H,83H,0C6H,0A1H,86H,8EH,0FFH,0CH,0BFH ;9,A,B,C,D,E,F,滅,P.END附錄F交通燈運(yùn)行圖附錄圖C初始狀態(tài)是顯示P.附錄圖D甲車(chē)道綠燈通行實(shí)拍圖附錄圖E乙車(chē)道綠燈通行實(shí)拍圖附錄圖F緊急車(chē)輛通行實(shí)拍圖ganemploymenttribunalclaimEmploymenttribunalssortoutdisagreementsbetweenemployersandemployees.Youmayneedtomakeaclaimtoanemploymenttribunalif:youdon'tagreewiththedisciplinaryactionyouremployerhastakenagainstyouyouremployerdismissesyouandyouthinkthatyouhavebeendismissedunfairly.Formoreinformationaboutdismissalandunfairdismissal,see

Dismissal.Youcanmakeaclaimtoanemploymenttribunal,evenifyouhaven't

appealed

againstthedisciplinaryactionyouremployerhastakenagainstyou.However,ifyouwinyourcase,thetribunalmayreduceanycompensationawardedtoyouasaresultofyourfailuretoappeal.Rememberthatinmostcasesyoumustmakeanapplicationtoanemploymenttribunalwithinthreemonthsofthedatewhentheeventyouarecomplainingabouthappened.Ifyourapplicationisreceivedafterthistimelimit,thetribunalwillnotusuallyacceptit.Ifyouareworriedabouthowthetimelimitsapplytoyou,takeadvicefromoneoftheorganisationslistedunder

Furtherhelp.Employmenttribunalsarelessformalthansomeothercourts,butitisstillalegalprocessandyouwillneedtogiveevidenceunderanoathoraffirmation.Mostpeoplefindmakingaclaimtoanemploymenttribunalchallenging.Ifyouarethinkingaboutmakingaclaimtoanemploymenttribunal,youshouldgethelpstraightawayfromoneoftheorganisationslistedunder

Furtherhelp.Ifyouarebeingrepresentedbyasolicitoratthetribunal,theymayaskyoutosignanagreementwhereyoupaytheirfeeoutofyourcompensationifyouwinthecase.Thisisknownasa

damages-basedagreement.InEnglandandWales,yoursolicitorcan'tchargeyoumorethan35%ofyourcompensation

ifyouwinthecase.Ifyouarethinkingaboutsigningupfor

adamages-basedagreement,youshouldmakesureyou'reclearaboutthetermsoftheagreement.Itmightbebesttogetadvicefromanexperiencedadviser,forexample,ataCitizensAdviceBureau.

TofindyournearestCAB,includingthosethatgiveadvicebye-mail,clickon

nearestCAB.Formoreinformationaboutmakingaclaimtoanemploymenttribunal,see

Employmenttribunals.The(lackof)airupthereWatchmCaymanIslands-basedWebb,theheadofFifa'santi-racismtaskforce,isinLondonfortheFootballAssociation's150thanniversarycelebrationsandwillattendCity'sPremierLeaguematchatChelseaonSunday."IamgoingtobeatthematchtomorrowandIhaveaskedtomeetYayaToure,"hetoldBBCSport."Formeit'sabouthowhefeltandIwouldliketospeaktohimfirsttofindoutwhathisexperiencewas."Uefahas

openeddisciplinaryproceedingsagainstCSKA

forthe"racistbehaviouroftheirfans"during

City's2-1win.MichelPlatini,presidentofEuropeanfootball'sgoverningbody,hasalsoorderedanimmediateinvestigationintothereferee'sactions.CSKAsaidtheywere"surprisedanddisappointed"byToure'scomplaint.InastatementtheRussiansideadded:"WefoundnoracistinsultsfromfansofCSKA."Agehasreachedtheendofthebeginningofaword.Maybeguiltyinhisseemstopassingalotofdifferentlifebecametheappearanceofthesameday;Maybebackinthepast,tooneselftheparanoidweirdbeliefdisillusionment,thesedays,mymindhasbeenverymessy,inmymindconstantly.Alwaysfeeloneselfshouldgotodosomething,orwritesomething.Twentyyearsoflifetrajectorydeeplyshallow,suddenlyfeelsomething,doit.一字開(kāi)頭的年齡已經(jīng)到了尾聲?;蛟S是愧疚于自己似乎把轉(zhuǎn)瞬即逝的很多個(gè)不同的日子過(guò)成了同一天的樣子;或許是追溯過(guò)去,對(duì)自己那些近乎偏執(zhí)的怪異信念的醒悟,這些天以來(lái),思緒一直很凌亂,在腦海中不斷糾纏??傆X(jué)得自己自己似乎應(yīng)該去做點(diǎn)什么,或者寫(xiě)點(diǎn)什么。二十年的人生軌跡深深淺淺,突然就感覺(jué)到有些事情,非做不可了。Theendofourlife,andcanmeetmanythingsreallydo?而窮盡我們的一生,又能遇到多少事情是真正地非做不可?Duringmychildhood,thinkluckymoneyandnewclothesarenecessaryforNewYear,butastheadvanceoftheage,willbemoreandmorefoundthatthosethingsareoptional;Juniorhighschool,thoughttohaveacrushonjustmeansthattherealgrowth,butoverthepastthreeyearslater,hiswritingofalumniinpeace,suddenlyfoundthatisn'treallygrowup,itseemsisnotsoimportant;Theninhighschool,thinkdon'twanttogiveventtooutyourinnervoicecanbeinthehighschoolchildrenofthefeelingsinaperiod,butwaseventuallyinfarctionwhengraduationpartyinthethroat,lateragainstoodonthepitchhehassweatprofusely,lookedathisthrownabasketballhoops,suddenlyfoundhimselfhasalreadycan'trememberhisappearance.童年時(shí),覺(jué)得壓歲錢(qián)和新衣服是過(guò)年必備,但是隨著年齡的推進(jìn),會(huì)越來(lái)越發(fā)現(xiàn),那些東西根本就可有可無(wú);初中時(shí),以為要有一場(chǎng)暗戀才意味著真正的成長(zhǎng),但三年過(guò)去后,自己心平氣和的寫(xiě)同學(xué)錄的時(shí)候,突然就發(fā)現(xiàn)是不是真正的成長(zhǎng)了,好似并沒(méi)有那么重要了;然后到了高中,覺(jué)得非要吐露出自己的心聲才能為高中生涯里的懵懂情愫劃上一個(gè)句點(diǎn),但畢業(yè)晚會(huì)的時(shí)候最終還是被堵塞在了咽喉,后來(lái)再次站在他曾經(jīng)揮汗如雨的球場(chǎng),看著他投過(guò)籃球的球框時(shí),突然間發(fā)現(xiàn)自己已經(jīng)想不起他的容顏。Originally,thisworld,canproduceachemicalreactiontoanevent,inadditiontoresolutely,havetodo,andtime.原來(lái),這個(gè)世界上,對(duì)某個(gè)事件能產(chǎn)生化學(xué)反響的,除了非做不可的堅(jiān)決,還有,時(shí)間。Aperson'stime,yourideasarealwaysspecialtoclear.Want,want,lineisclear,asifnothingcouldshakehis.Alsoonceseemedtobedeterminedtodosomething,butmoreoftenishebackedoutatlast.Dislikehiscowardice,finallyfoundthattherearealotoflove,therearealotofmiss,likeshadowreallyhavebeendoomed.Thosewhodo,justgreenyearsoneselfgiveoneselfanarminjection,orisaself-righteousspiritual.一個(gè)人的時(shí)候,自己的想法總是特別地清晰。想要的,不想要的,界限明確,好似沒(méi)有什么可以撼動(dòng)自己。也曾經(jīng)好似已經(jīng)下定了決心去做某件事,但更多的時(shí)候是最后又打起了退堂鼓。嫌惡過(guò)自己的怯懦,最終卻發(fā)現(xiàn)有很多緣分,有很多錯(cuò)過(guò),好似冥冥之中真的已經(jīng)注定。那些曾經(jīng)所謂的非做不可,只是青蔥年華里自己給自己注射的一支強(qiáng)心劑,或者說(shuō),是自以為是的精神寄托罷了。Atthemoment,theskyisdark,theairisfreshfactorafterjustrained.Suddenlythoughtofblueplaidshirt;Thosewerebrokenintovariousshapesofstationery;Fromthecorneratthebeginningofdeepfriendship;Havedeclaredtheendoftheencounte

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論