第九章8051外部接口的c編程_第1頁
第九章8051外部接口的c編程_第2頁
第九章8051外部接口的c編程_第3頁
第九章8051外部接口的c編程_第4頁
第九章8051外部接口的c編程_第5頁
已閱讀5頁,還剩41頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1

第九章8051外部接口的C編程模擬量D/A傳感器執(zhí)行元件A/D數(shù)字量數(shù)字量模擬量模擬量輸入(數(shù)據(jù)采集)模擬量輸出(過程控制)計算機(jī)1、模擬接口的作用模擬量的輸入、輸出通道是微型計算機(jī)與控制對象之間的重要接口,也是實現(xiàn)工業(yè)過程控制的重要組成部分。實際工業(yè)生產(chǎn)環(huán)境——連續(xù)變化的模擬量

例如:電壓、電流、壓力、溫度、位移、流量

計算機(jī)內(nèi)部——離散的數(shù)字量二進(jìn)制數(shù)、十進(jìn)制數(shù)9.1模擬量與輸入/輸出通道22、模擬量I/O通道的組成輸入通道模擬接口電路的任務(wù)模擬電路的任務(wù)0010110110101100工業(yè)生產(chǎn)過程傳感器放大濾波多路轉(zhuǎn)換&采樣保持A/D轉(zhuǎn)換放大驅(qū)動D/A轉(zhuǎn)換輸出接口微型計算機(jī)執(zhí)行機(jī)構(gòu)輸入接口物理量變換信號處理信號變換I/O接口輸出通道3傳感器(Transducer)非電量→電壓、電流變送器(Transformer)轉(zhuǎn)換成標(biāo)準(zhǔn)的電信號信號處理(SignalProcessing)放大、整形、濾波多路轉(zhuǎn)換開關(guān)(Multiplexer)多選一采樣保持電路(SampleHolder,S/H)保證變換時信號恒定不變A/D變換器(A/DConverter)模擬量轉(zhuǎn)換為數(shù)字量

4D/A變換器(D/AConverter)數(shù)字量轉(zhuǎn)換為模擬量低通濾波平滑輸出波形放大驅(qū)動提供足夠的驅(qū)動電壓,電流59.2D/A轉(zhuǎn)換1、D/A轉(zhuǎn)換的基本原理數(shù)字量是由一位一位的數(shù)位構(gòu)成的,每個數(shù)位都代表一定的權(quán)。為了把一個數(shù)字量變?yōu)槟M量,必須把每一位的數(shù)碼按照權(quán)來轉(zhuǎn)換為對應(yīng)的模擬量,再把各模擬量相加,這樣,得到的總模擬量便對應(yīng)于給定的數(shù)據(jù)。D/A轉(zhuǎn)換器的主要部件是電阻開關(guān)網(wǎng)絡(luò),通常是由輸入的二進(jìn)制數(shù)的各位控制一些開關(guān),通過電阻網(wǎng)絡(luò),在運(yùn)算放大器的輸入端產(chǎn)生與二進(jìn)制數(shù)各位的權(quán)成比例的電流,經(jīng)過運(yùn)算放大器相加和轉(zhuǎn)換而成為與二進(jìn)制數(shù)成比例的模擬電壓。62、D/A轉(zhuǎn)換的主要參數(shù)

分辨率

由于數(shù)字量D是不連續(xù)的,當(dāng)數(shù)字增加時,模擬量為階梯波電壓,如圖所示:

階梯波每一級增量對應(yīng)于輸入數(shù)字的最低數(shù)位1。把階梯波每一級增量與最大模擬量的比值稱為分辨率。

分辨率=1/(2n-1)例,4位DAC,其分辨率為=6.67%

通常,在工程中,直接以DAC能轉(zhuǎn)換的二進(jìn)制位數(shù)表示分辨率。如8、10、12、14、16位DAC。72、D/A轉(zhuǎn)換的主要參數(shù)

輸出范圍

0~+5V,滿量程FS=5V(FullScale)

-5V~+5V,F(xiàn)S=10V

0~+10V,FS=10V

-10V~+10VFS=20V轉(zhuǎn)換精度

實際輸出值與理論值之間的最大偏差。一般用最小量化階⊿來度量,如±1/2LSB。也可用滿量程的百分比來度量,如0.05%FSR(LSB-LeastSignificantBit,FSR-FullScaleRange)82、D/A轉(zhuǎn)換的主要參數(shù)線性度(線性誤差)DAC的理想特性為線性階梯波,實際特性可能偏離理想特性,模擬量實際值與理想值間的最大差值,折合為數(shù)字量的最低有效位(一般,應(yīng)小于±1/2LSB)。建立時間(轉(zhuǎn)換時間)

指“大信號”工作時,從開始轉(zhuǎn)換到模擬量輸出到達(dá)最終值所需的時間,一般幾納秒~幾百微秒?!按笮盘枴惫ぷ鳎?~最大,或負(fù)最大~正最大。其他參數(shù)

溫度漂移(ppm/℃)、絕緣電壓、功耗等。9DAC0832由8位輸入寄存器、8位DAC寄存器和8位D/A轉(zhuǎn)換器構(gòu)成。DAC0832有兩級鎖存器,第一級即輸入寄存器,第二級即DAC寄存器。DAC0832可以在雙緩沖方式下,輸出模擬信號同時采集數(shù)字量。可以在D/A轉(zhuǎn)換器同時工作時,利用第二級鎖存信號實現(xiàn)多路D/A的同時輸出。3、8位D/A芯片DAC083210主要特性如下:

分辨率--8位;建立時間--1us

增益溫度系數(shù)--20×10-6/0C

輸入--TTL電平功耗--20mW

DAC0832的輸出為兩個電流信號IOUT1和IOUT2。

IOUT1+IOUT2=常數(shù)當(dāng)LE2有效時,數(shù)據(jù)輸入寄存器的值進(jìn)入第二級(DAC寄存器)。

當(dāng)LE1有效時,數(shù)據(jù)進(jìn)入第一級(數(shù)據(jù)輸入寄存器)11數(shù)字接口

數(shù)據(jù)輸入寄存器”工作在鎖存狀態(tài),而“DAC寄存器”工作在不鎖存狀態(tài)(LE2始終有效,DAC寄存器直通),只使用一級緩沖。單緩沖方式112“數(shù)據(jù)輸入寄存器”工作在不鎖存狀態(tài)(LE1始終有效,數(shù)據(jù)輸入寄存器直通),而“DAC寄存器”工作在鎖存狀態(tài)。只使用一級緩沖。數(shù)字接口單緩沖方式2單緩沖方式適用于只有一路模擬量輸出的場合。13數(shù)字接口使用兩級緩沖設(shè)CS'由A15~A1經(jīng)譯碼產(chǎn)生

ILE=1&CS=0&WR1=0,DAC0832內(nèi)部LE1有效;WR=0&XFER=0,DAC0832內(nèi)部LE2有效。

在雙緩沖方式,輸入寄存器的鎖存信號和DAC寄存器的鎖存信號分開控制。適用于幾個模擬量需同時輸出的系統(tǒng)。每一個模擬量輸出需一個DAC0832,構(gòu)成多個DAC0832同步輸出系統(tǒng)。14雙緩沖方式——同步轉(zhuǎn)換舉例15圖中兩片DAC0832的輸入寄存器各占一個單元地址,而兩個DAC寄存器占用同一單元地址。實現(xiàn)兩片DAC0832的DAC寄存器占用同一單元地址的方法是將兩個傳送允許信號XFER相連后,接同一線選端。轉(zhuǎn)換操作時,將兩路待轉(zhuǎn)換數(shù)據(jù)分別寫入兩個DAC0832的輸入寄存器;之后將數(shù)據(jù)同時傳送到兩個DAC寄存器,傳送的同時啟動兩路D/A轉(zhuǎn)換。16雙緩沖方式——同步轉(zhuǎn)換舉例兩片DAC0832的輸入寄存器地址分別為8FFFH和A7FFH,兩個芯片的DAC寄存器地址都為2FFFH。#include<absacc.h>#include<reg51.h>#defineINPUTR1XBYTE[0x8fff]#defineINPUTR2XBYTE[0xa7ff]#defineDACRXBYTE[0x2fff]#defineucharunsignedcharVoiddac2b(uchardata1,uchardata2){ INPUTR1=data1;/*數(shù)據(jù)送到輸入寄存器*/ INPUTR2=data2; DACR=0;/*啟動兩路D/A同時轉(zhuǎn)換*/}17單緩沖方式——同步轉(zhuǎn)換舉例18DAC0832ILE接+5V,始終保持有效。寫信號控制數(shù)據(jù)的鎖存,WR1與WR2相連,接8051的WR,即數(shù)據(jù)同時寫入寄存器;傳送允許信號XFER與片選CS相連,即選中DAC0832后,寫入數(shù)據(jù)立即啟動轉(zhuǎn)換。19單緩沖方式舉例按照片選確定FFFEH為該片DAC0832的地址。#include<absacc.h>#include<reg51.h>#defineDA0832XBYTE[0xfffe]#defineucharunsignedchar#defineuintunsignedintvoidstair(void){ while(1){ for(i=0;i<=255;i++) DA0832=i; }}20AD7521是12位的D/A轉(zhuǎn)換器,片內(nèi)不帶輸入寄存器。對于沒有輸入寄存器的D/A轉(zhuǎn)換器,當(dāng)輸入數(shù)據(jù)變化時,輸出電流或電壓會消失。在實際使用中,為控制一個對象,往往要求轉(zhuǎn)換后的模擬量保持一定時間。因此,在這類D/A轉(zhuǎn)換器之前,需增加一個數(shù)據(jù)鎖存器再與總線相連。4、12位D/A芯片AD7521由于AD7521是12位數(shù)據(jù)輸入,因此需外加12位數(shù)據(jù)鎖存器。這里采用低8位和高4位2個鎖存器,且低8位用2片74LS377作雙緩沖器,高4位用1片74LS379作單緩沖寄存器。輸出轉(zhuǎn)換數(shù)據(jù)時,先輸出低8位數(shù)據(jù)到第一級緩沖器,然后輸出高4位數(shù)據(jù)到4位緩沖寄存器。在輸出高4位數(shù)據(jù)的同時,把低8位數(shù)據(jù)打入第二級緩沖寄存器,以便12位數(shù)據(jù)同時轉(zhuǎn)換。21AD7521波形發(fā)生器舉例圖中第一級緩沖器的地址為7FFFH,第二級緩沖器和4位緩沖器地址為BFFFH。#include<absacc.h>#include<reg51.h>#defineDA7521LXBYTE[0x7fff]#defineDA7521HXBYTE[0xbfff]#defineUP0x10#defineT1000#defineuintunsignedintVoiddlms(uinta);voidstair(void){ uintI; for(i=0;i<=4095;i+=UP){ DA7521L=i%256;/*送低8位數(shù)據(jù)到第一級緩沖器*/ DA7521H=i/256;/*送高4位數(shù)據(jù)到高4位緩沖器,同時低8位到第二級緩沖器轉(zhuǎn)換*/ dlms(T); }}221、采樣

采樣就是將時間連續(xù)的信號變成時間不連續(xù)的離散信號。這個過程是通過模擬開關(guān)來實現(xiàn)的。模擬開關(guān)每隔一定的時間間隔T(稱為采樣周期)閉合一次,一個連續(xù)信號通過這個開關(guān),一系列脈沖信號,稱為采樣信號。

9.2A/D轉(zhuǎn)換232、采樣定理

設(shè)連續(xù)信號X(t)的最高頻率分量為Fm,以等間隔Ts(Ts稱采樣間隔,fs=1/Ts稱為采樣頻率)對X(t)進(jìn)行采樣,得到Xs(t)。如果Fs>=2Fm,則Xs(t)保留了X(t)的全部信息(從Xs(t)可以不失真地恢復(fù)出X(t))。奈奎斯特采樣頻率243、A/D轉(zhuǎn)換的參數(shù)

(1)模擬量輸入范圍和分辨率

ADC把模擬量轉(zhuǎn)換為數(shù)字,模擬量輸入范圍可以是:

例:8位ADC,單極性輸入0~5V,數(shù)字量為0~255,它能分辨的最小輸入信號是Δ(5V/256)=20mV,分辨率=28=256位12位ADC,雙極性輸入-5V~+5V,數(shù)字量為-2048~+2047,它能分辨的最小輸入信號是Δ(10V/4096)=2mV,分辨率=212=4096位0~5V0~10V單極性輸入-5V~+5V:雙極性輸入轉(zhuǎn)換后的數(shù)字量一般有8、10、12、14、16位。ADC的分辨率是指它能夠分辨的最小輸入信號,一般用位數(shù)來表示25(2)轉(zhuǎn)換時間和轉(zhuǎn)換率轉(zhuǎn)換時間:ADC完成一次轉(zhuǎn)換所需的時間。轉(zhuǎn)換率:1秒時間內(nèi)能完成轉(zhuǎn)換的次數(shù),kHZ,MHZ。A/D轉(zhuǎn)換的參數(shù)(續(xù))

26(3)轉(zhuǎn)換精度ADC輸出的實際數(shù)字量與理想數(shù)字量之間有一定誤差,這種誤差由兩部分構(gòu)成:量化誤差量化誤差是把連續(xù)的模擬量轉(zhuǎn)換為離散的數(shù)字量(這一過程稱為量化)時產(chǎn)生的誤差,它必然存在的,是不可避免的。

器件誤差器件誤差是由于器件制造精度、溫度漂移等造成的,可以通過提高產(chǎn)品質(zhì)量來降低。如,8位ADC,單極性輸入0~5V,數(shù)字量為0~255,它的量化誤差是Δ=(1/2)*(5V/256)=10mV,如,4.99~5.00V輸入對應(yīng)的數(shù)字均為255,這是不可避免的。A/D轉(zhuǎn)換的參數(shù)(續(xù))

273.轉(zhuǎn)換精度

A/D轉(zhuǎn)換精度用數(shù)字量的最低有效位(LSB)來表示。如果模擬量在(±Δ/2)范圍內(nèi),都產(chǎn)生相對應(yīng)的唯一數(shù)字量,稱為這個ADC是無誤差的,或者稱其精度為±0LSB如果模擬量在范圍內(nèi),都產(chǎn)生相對應(yīng)的唯一數(shù)字量,這個ADC的精度為±1/2LSB+△~-△如果模擬量在(+3Δ/4~-3Δ/4)范圍內(nèi),都產(chǎn)生相對應(yīng)的唯一數(shù)字量,這個ADC的精度為±1/4LSB。A/D轉(zhuǎn)換的參數(shù)(續(xù))

284、A/D轉(zhuǎn)換的原理和方法

A/D轉(zhuǎn)換器按轉(zhuǎn)換原則可分為直接A/D轉(zhuǎn)換器和間接A/D轉(zhuǎn)換器

直接A/D轉(zhuǎn)換把模擬信號直接轉(zhuǎn)換為數(shù)字信號,如逐次逼近型,并聯(lián)比較型等.間接A/D轉(zhuǎn)換把模擬量轉(zhuǎn)換成中間量,然后再轉(zhuǎn)換為數(shù)字量,如電壓/時間轉(zhuǎn)換型,電壓/頻率轉(zhuǎn)換型,電壓/脈寬轉(zhuǎn)換型等。295、8位D/A芯片ADC0809STARTEOCCLKOED7D0VREF(+)VREF(-)ADDCADDBADDAALEIN0IN7比較器8路模擬開關(guān)逐位逼近寄存器SAR樹狀開關(guān)電阻網(wǎng)絡(luò)三態(tài)輸出鎖存器時序與控制地址鎖存及譯碼D/A8個模擬輸入通道8選1ADC0809是8位逐次逼近型A/D轉(zhuǎn)換器,帶8個模擬量輸入通道,芯片內(nèi)帶通道地址譯碼鎖存器,輸出帶三態(tài)數(shù)據(jù)鎖存器,啟動信號為脈沖啟動方式,每一通道大約100us。30主要引腳如下:D7~D0:輸出數(shù)據(jù)線(三態(tài))IN0~I(xiàn)N7:8通道(路)模擬輸入ADDA、ADDB、ADDC:通道地址(通道選擇)ALE:通道地址鎖存START:啟動轉(zhuǎn)換EOC:轉(zhuǎn)換結(jié)束,可用于查詢或作為中斷申請OE:輸出允許(打開輸出三態(tài)門)CLK:時鐘輸入(10KHz~1.2MHz)VREF(+)、VREF(-):基準(zhǔn)參考電壓ADC0809由兩大部分組成:一部分為輸入通道,包括8位模擬開關(guān)、3條地址線的鎖存器和譯碼器,可實現(xiàn)8路模擬輸入通道的選擇;一部分為逐次逼近型A/D轉(zhuǎn)換器。31ADC0809的工作過程ADC0809的工作過程如下:①把通道地址送到ADDA~ADDC上,選擇模擬輸入;②在通道地址信號有效期間,ALE上的上升沿該地址鎖存到內(nèi)部地址鎖存器;③START引腳上的下降沿啟動A/D變換;

④變換開始后,EOC引腳呈現(xiàn)低電平,EOC重新變?yōu)楦唠娖綍r表示轉(zhuǎn)換結(jié)束;⑤OE信號打開輸出鎖存器的三態(tài)門送出結(jié)果。32

單路輸入模擬信號可固定連接到任何一個輸入端地址線根據(jù)輸入線編號固定連接(高電平或低電平)多路輸入模擬信號按順序分別連接到輸入端要轉(zhuǎn)換哪一路輸入,就將其編號送到地址線上(動態(tài)選擇)單路輸入時ADDCADDBADDAIN4輸入多路輸入時ADDCADDBADDAIN0IN1IN2IN3IN4ADC0809輸入0輸入1輸入2輸入3輸入4CPU指定通道號+5VADC0809與系統(tǒng)的連接

模擬輸入端INi33ADC0809與系統(tǒng)的連接(續(xù))

多路輸入時,地址線不能接死,而是要通過一個接口芯片與數(shù)據(jù)總線連接。接口芯片可以選用:鎖存器74LS273,74LS373等(要占用一個I/O地址)可編程并行接口8255(要占用四個I/O地址)CPU把通道地址通過接口芯片送給0809ADDCADDBADDAIN0IN1IN2IN3IN4ADC0809輸入DB74LS273Q2Q1Q0CP來自I/O譯碼D0-D7ADDCADDBADDAIN0IN1IN2IN3IN4ADC0809DB8255PB2PB1PB0CS#來自I/O譯碼D0-D7A1A0A1A0地址線ADDA-ADDC34

內(nèi)部已接有三態(tài)門,故可直接連到DB上也可另外通過一個輸入接口與DB相連上述兩種方法均需占用一個I/O地址D0-D7ADC0809DBOE來自I/O譯碼D0-D7ADC0809DBOE來自I/O譯碼直接連DB通過輸入接口連DB74LS244+5VDIDOE1#E2#數(shù)據(jù)輸出線D0-D7ADC0809與系統(tǒng)的連接(續(xù))

35ADC0809與系統(tǒng)的連接(續(xù))

兩種連接方法:獨(dú)立連接:用兩個信號分別進(jìn)行控制——需占用兩個I/O端口或兩個I/O線(用8255時);統(tǒng)一連接:用一個脈沖信號的上升沿進(jìn)行地址鎖存,下降沿實現(xiàn)啟動轉(zhuǎn)換——只需占用一個I/O端口或一個I/O線(用8255時)。ADC0809ALESTART獨(dú)立連接來自I/O譯碼1來自I/O譯碼2ADC0809ALESTART統(tǒng)一連接來自I/O譯碼地址鎖存ALE和啟動轉(zhuǎn)換START36

軟件延時等待(比如延時1ms)——不用EOC信號CPU效率最低軟件查詢EOC狀態(tài)EOC通過一個三態(tài)門連到數(shù)據(jù)總線的D0(其他也可以)三態(tài)門要占用一個I/O端口地址CPU效率低把EOC作為中斷申請信號在中斷服務(wù)程序中讀入轉(zhuǎn)換結(jié)果,效率高。轉(zhuǎn)換結(jié)束EOCADC0809與系統(tǒng)的連接(續(xù))37ADC0809應(yīng)用舉例ADC0809的啟動信號START由片選P2.7與寫信號WR的“或非”產(chǎn)生。要求一條向ADC0809寫操作指令來啟動轉(zhuǎn)換。ALE與START相連,即按打入的通道地址接通模擬量并啟動轉(zhuǎn)換。輸出允許信號OE由讀信號RD與片選信號P2.7”或非“產(chǎn)生,即一條ADC0809的讀操作使數(shù)據(jù)輸出。38ADC0809應(yīng)用舉例ADC0809的模擬通道0~7的地址為7FF8H~7FFFH。從ADC0809的8通道輪流采集一次數(shù)據(jù),采集的結(jié)果放在數(shù)組ad中。#include<absacc.h>#include<reg51.h>#defineucharunsignedchar#defineIN0XBYTE[0x7ff8]/*設(shè)置ADC0809的通道0地址*/sbitad_busy=P3^3;/*EOC狀態(tài)*/voidad0809(ucharidata*x,ucharn)/*采樣結(jié)果放指針中的A/D采集函數(shù)*/{ uchari; ucharxdata*ad_adr; ad_adr=&IN0; for(i=0;i<n;i++){/*處理8個通道*/ *ad_adr=0;/*啟動A/D轉(zhuǎn)換*/ i=i;i=i;/*延時等待*/ while(ad_busy==0);/*查詢等待轉(zhuǎn)換結(jié)束*/ x[i]=*ad_adr;/*存轉(zhuǎn)換結(jié)果*/ ad_adr++; }}voidmain(void){ staticucharidataad[8]; ad0809(ad,8);}39例:A/D通道0采用查詢方式采樣50個數(shù)據(jù),將其求平均并送共陰極數(shù)碼管顯示A/D采樣地址為7FF8H,8位A/D最大值為255,用三個數(shù)碼管顯示,以P3.3為查詢位。#include<reg51.h>#defineuintunsignedint#defineucharunsignedchar

#defineSAMPLENUM50sbitad_busy=P3.3;voidad0809(ucharidata*x){uchardatai;ucharxdata*ad_adr;ad_adr=0x7ff8;for(i=0;i<SAMPLENUM;i++){ *ad_adr=0; i=i;i=i; while(ad_busy==1); buf[i]=*ad_adr; }}P3=0x01;

40voidmain(void){uinti,j,m,total;ucharidatabuf[SAMPLENUM];ucharidatadis[3];ucharcodetab[16]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71};voiddelay(uchardatadelay1){uchardatai,j;for(i=0;i<delay1;i++)for(j=0;j<240;j++)i=i;}41例:A/D通道0采用查詢方式采樣50個數(shù)據(jù),將其求平均并送數(shù)碼管顯示P1=0x00;while(1){total=0;ad0809(buf);for(i=SAMPLENUM-1;i>=0;i--)total+=buf[i-1];total=total/SAMPLENUM;dis[0]=total%10total=total/10;dis[1]=total%10;dis[2]=total/10;for(m=0;m<SAMPLENUM;m++){for(i=0;i<3;i++){if(i==0) P3=0x01;else P3<<=1;P1=tab[dis[i]];delay(50);}}}}42AD574是由模擬部分和數(shù)字部分混合而成:模擬部分由12位D/A芯片AD565和參考電壓組成;數(shù)字部分由控制邏輯電路逐次逼近

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論