微機(jī)原理第八章_第1頁(yè)
微機(jī)原理第八章_第2頁(yè)
微機(jī)原理第八章_第3頁(yè)
微機(jī)原理第八章_第4頁(yè)
微機(jī)原理第八章_第5頁(yè)
已閱讀5頁(yè),還剩77頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

第8章模/數(shù)和數(shù)/模轉(zhuǎn)換8.1概述數(shù)字信號(hào)模擬信號(hào)現(xiàn)場(chǎng)信號(hào)1現(xiàn)場(chǎng)信號(hào)2現(xiàn)場(chǎng)信號(hào)n微型計(jì)算機(jī)放大器放大器放大器多路開(kāi)關(guān)低通濾波傳感器低通濾波傳感器低通濾波傳感器A/D轉(zhuǎn)換器采樣保持器數(shù)字信號(hào)受控對(duì)象控制信號(hào)模擬信號(hào)D/A轉(zhuǎn)換器放大驅(qū)動(dòng)電路…傳感器將各種現(xiàn)場(chǎng)的物理量測(cè)量出來(lái)并轉(zhuǎn)換成電信號(hào)(模擬電壓或電流)

放大器把傳感器輸出的信號(hào)放大到ADC所需的量程范圍低通濾波器用于降低噪聲、濾去高頻干擾,以增加信噪比多路開(kāi)關(guān)把多個(gè)現(xiàn)場(chǎng)信號(hào)分時(shí)地接通到A/D轉(zhuǎn)換器采樣保持器周期性地采樣連續(xù)信號(hào),并在A/D轉(zhuǎn)換期間保持不變模擬量與數(shù)字量模擬量——連續(xù)變化的物理量數(shù)字量——時(shí)間和數(shù)值上都離散的量模擬/數(shù)字轉(zhuǎn)換器ADCDAC數(shù)字/模擬轉(zhuǎn)換器8.2D/A轉(zhuǎn)換器DAC數(shù)字/模擬轉(zhuǎn)換器模擬量數(shù)字量8.2.1D/A轉(zhuǎn)換的基本原理數(shù)字量→按權(quán)相加

→模擬量1101B=1×23+1×22+0×21+1×20=13T型權(quán)電阻網(wǎng)絡(luò)和運(yùn)放構(gòu)成的DACD3D2D1D0R2R4R8R_+VoVREF…RoDi=1,開(kāi)關(guān)閉合;Di=0,開(kāi)關(guān)斷開(kāi)T型電阻網(wǎng)絡(luò)和運(yùn)放構(gòu)成的DAC-+T型電阻網(wǎng)絡(luò)和運(yùn)放構(gòu)成的DAC

(1)分辨率分辨率表示D/A變換器的1個(gè)LSB(最低有效位)輸入使輸出變化的程度。分辨率=1/(2n-1)

(2)轉(zhuǎn)換精度

分為絕對(duì)轉(zhuǎn)換精度和相對(duì)轉(zhuǎn)換精度。絕對(duì)轉(zhuǎn)換精度:指每個(gè)輸出電壓接近理想值的程度。與標(biāo)準(zhǔn)電源的精度、權(quán)電阻的精度有關(guān)。相對(duì)轉(zhuǎn)換精度:一般用絕對(duì)轉(zhuǎn)換精度相對(duì)于滿量程輸出的百分?jǐn)?shù)來(lái)表示。有時(shí)也用LSB的幾分之幾來(lái)表示。D/A變換器的主要技術(shù)指標(biāo)有:8.2.2數(shù)/模轉(zhuǎn)換器件和有關(guān)電路兩類(lèi):不帶數(shù)據(jù)輸入寄存器的D/A轉(zhuǎn)換器帶數(shù)據(jù)輸入寄存器的D/A轉(zhuǎn)換器1.不帶數(shù)據(jù)輸入寄存器的D/A轉(zhuǎn)換器數(shù)據(jù)緩沖器思考:超過(guò)8位的D/A轉(zhuǎn)換器如何連接?(后面介紹)2.帶數(shù)據(jù)輸入寄存器的D/A轉(zhuǎn)換器DAC0832是典型的8位電流輸出型通用DAC芯片DAC0832有兩級(jí)鎖存器:輸入寄存器和DAC寄存器技術(shù)指標(biāo)

電流建立時(shí)間1us

單電源+5~+15

VREF輸入端電壓:10V

分辨率8位

功耗200mW

最大電源電壓

17VDAC0832的數(shù)字接口8位數(shù)字輸入端DI0~DI7(DI0為最低位)輸入寄存器(第1級(jí)鎖存)的控制端ILE、CS*、WR1*DAC寄存器(第2級(jí)鎖存)的控制端XFER*、WR2*直通鎖存器的工作方式兩級(jí)緩沖寄存器都是直通鎖存器LE=1,直通(輸出等于輸入)LE=0,鎖存(輸出保持不變)LE2LE1DAC0832輸入寄存器DI0~DI7D/A轉(zhuǎn)換器DAC寄存器Iout1DAC0832的工作方式直通方式適用于連續(xù)反饋控制線路.單緩沖方式適用于一路模擬量輸出,或多路模擬量非同步輸出.雙緩沖方式適用于多個(gè)DAC0832同時(shí)輸出.DAC0832的工作方式:直通方式LE1=LE2=1輸入的數(shù)字?jǐn)?shù)據(jù)直接進(jìn)入D/A轉(zhuǎn)換器LE2LE1DAC0832輸入寄存器DI0~DI7D/A轉(zhuǎn)換器DAC寄存器Iout1DAC0832的工作方式:?jiǎn)尉彌_方式LE1=1,或者LE2=1兩個(gè)寄存器之一始終處于直通狀態(tài)另一個(gè)寄存器處于受控狀態(tài)(緩沖狀態(tài))LE2LE1DAC0832輸入寄存器DI0~DI7D/A轉(zhuǎn)換器DAC寄存器Iout1DAC0832的工作方式:雙緩沖方式兩個(gè)寄存器都處于受控(緩沖)狀態(tài)能夠?qū)σ粋€(gè)數(shù)據(jù)進(jìn)行D/A轉(zhuǎn)換的同時(shí);輸入另一個(gè)數(shù)據(jù)LE2LE1DAC0832輸入寄存器DI0~DI7D/A轉(zhuǎn)換器DAC寄存器Iout1

DAC0832的模擬輸出Iout1、Iout2——電流輸出端Rfb——反饋電阻引出端(電阻在芯片內(nèi))VREF——參考電壓輸入端+10V~-10VAGND——模擬信號(hào)地VCC——電源電壓輸入端+5V~+15VDGND——數(shù)字信號(hào)地DAC0832是電流輸出型,若需要電壓信號(hào),可用運(yùn)算放大器將電流信號(hào)轉(zhuǎn)換成電壓信號(hào):RfbIout2Iout1Vout+_AGNDADIVREFVout=-Iout1×Rfb=-(D/28)×VREF地線的連接DGNDAGND模擬電路數(shù)字電路ADCDAC模擬電路數(shù)字電路模擬地?cái)?shù)字地公共接地點(diǎn)8.2.3DAC芯片與主機(jī)的連接DAC芯片相當(dāng)于一個(gè)“輸出設(shè)備”,至少需要一級(jí)鎖存器作為接口電路考慮到有些DAC芯片的數(shù)據(jù)位數(shù)大于主機(jī)數(shù)據(jù)總線寬度,所以分成兩種情況:1.主機(jī)位數(shù)等于或大于DAC芯片位數(shù)2.主機(jī)位數(shù)小于DAC芯片位數(shù)1.主機(jī)位數(shù)大于或等于DAC芯片的連接moval,bufmov

dx,portdoutdx,al譯碼ABD0~D7CLKDACVout+_ALS273IOWDAC0832單緩沖方式WR1CSIOW5V+5VRfbIout2Iout1WR2XFERDGNDAGNDD0~D7DI0~D17VccILEVREFVout+_A譯碼AB2.主機(jī)位數(shù)小于DAC芯片的連接數(shù)字?jǐn)?shù)據(jù)需要多次輸出接口電路也需要多個(gè)(級(jí))鎖存器保存多次輸出的數(shù)據(jù)并需要同時(shí)將完整的數(shù)字量提供給DAC轉(zhuǎn)換器CPUDAC8位12位兩級(jí)鎖存電路模擬輸出12位DAC第2級(jí)12位鎖存控制第1級(jí)低8位鎖存控制第1級(jí)高4位鎖存控制D0~D74位鎖存器4位鎖存器8位鎖存器8位鎖存器由同一個(gè)信號(hào)控制關(guān)鍵的一級(jí)鎖存無(wú)需輸出數(shù)據(jù)簡(jiǎn)化的兩級(jí)鎖存電路模擬輸出12位DAC第2級(jí)12位鎖存控制第1級(jí)低8位鎖存控制D0~D74位鎖存器8位鎖存器8位鎖存器由同一個(gè)信號(hào)控制關(guān)鍵的一級(jí)鎖存需要輸出高4位數(shù)據(jù)movdx,port1moval,bloutdx,almovdx,port2moval,bhoutdx,al8.2.4DAC芯片的應(yīng)用例1:用前面電路輸出正向鋸齒波2次數(shù)據(jù)輸出的時(shí)間間隔tms02LSB1LSB255LSB254LSB鋸齒波周期 MOVDX,PORTA MOVAL,0FFHDON:INCAL OUTDX,AL

CALLDELAY JMPDONDELAYPROCNEAR MOVCX,DATAX:LOOPX RETDELAYENDP?DECAL用延時(shí)程序控制周期例2:用前面電路產(chǎn)生周期性三角波:MOVDX,PORTMOVAL,0FFHDON1:INCALOUTDX,ALCMPAL,0FFHJNZDON1DON2:DECALOUTDX,ALCMPAL,0JNZDON2

JMPDON1例3:8255的端口地址:300H~303HD/A轉(zhuǎn)換器的應(yīng)用函數(shù)發(fā)生器只要往D/A轉(zhuǎn)換器寫(xiě)入按規(guī)律變化的數(shù)據(jù),即可在輸出端獲得正弦波、三角波、鋸齒波、方波、階梯波、梯形波等函數(shù)波形。直流電機(jī)的轉(zhuǎn)速控制用不同的數(shù)值產(chǎn)生不同的電壓,控制電機(jī)的轉(zhuǎn)速其他需要用電壓/電流來(lái)進(jìn)行控制的場(chǎng)合8.3A/D轉(zhuǎn)換器模擬量數(shù)字量模擬/數(shù)字轉(zhuǎn)換器ADC用途將連續(xù)變化的模擬信號(hào)轉(zhuǎn)換為數(shù)字信號(hào),以便于計(jì)算機(jī)進(jìn)行處理。常用于數(shù)據(jù)采集系統(tǒng)或數(shù)字化聲音。A/D轉(zhuǎn)換的四個(gè)步驟采樣→保持→量化→編碼采樣/保持:由采樣保持電路(S/H)完成量化/編碼:由ADC電路完成(ADC:AD變換器)1)采樣和保持采樣將一個(gè)時(shí)間上連續(xù)變化的模擬量轉(zhuǎn)為時(shí)間上斷續(xù)變化的(離散的)模擬量?;颍喊岩粋€(gè)時(shí)間上連續(xù)變化的模擬量轉(zhuǎn)換為一個(gè)脈沖串,脈沖的幅度取決于輸入模擬量。保持將采樣得到的模擬量值保持下來(lái),使之等于采樣控制脈沖存在的最后瞬間的采樣值。目的:A/D轉(zhuǎn)換期間保持采樣值恒定不變。對(duì)于慢速變化的信號(hào),可省略采樣保持電路采樣周期的確定采樣通常采用等時(shí)間間隔采樣。采樣頻率fs不能低于2fimax(fimax為輸入信號(hào)Vin的最高次諧波分量的頻率);fs的上限受計(jì)算機(jī)的速度、存儲(chǔ)容量、器件速度的限制。實(shí)際中一般取fs為fimax的4-5倍。2)量化和編碼量化就是用基本的量化電平的個(gè)數(shù)來(lái)表示采樣到模擬電壓值。即把時(shí)間上離散而數(shù)值上連續(xù)的模擬量以一定的準(zhǔn)確度變換為時(shí)間上、數(shù)值上都離散的具有標(biāo)準(zhǔn)量化級(jí)的等效數(shù)字值。(量化電平的大小取決于A/D變換器的字長(zhǎng))只有當(dāng)電壓值正好等于量化電平的整數(shù)倍時(shí),量化后才是準(zhǔn)確值,否則量化后的結(jié)果都只能是輸入模似量的近似值。這種由于量化而產(chǎn)生的誤差叫做量化誤差。量化誤差是由于量化電平的有限性造成的,所以它是原理性誤差,只能減小,而無(wú)法消除。為減小量化誤差,根本的辦法是減小量化電平(即增加字長(zhǎng))。編碼是把已經(jīng)量化的模擬數(shù)值(它一定是量化電平的整數(shù)倍)用二進(jìn)制碼、BCD碼或其它碼來(lái)表示。8.3.1模/數(shù)轉(zhuǎn)換涉及的參數(shù)轉(zhuǎn)換精度轉(zhuǎn)換精度反映了A/D轉(zhuǎn)換器的實(shí)際輸出接近理想輸出的精確程度,通常用數(shù)字量的最低有效位(LSB)來(lái)表示。轉(zhuǎn)換時(shí)間和轉(zhuǎn)換率轉(zhuǎn)換時(shí)間為完成一次A/D轉(zhuǎn)換所需要的時(shí)間。轉(zhuǎn)換率為轉(zhuǎn)換時(shí)間的倒數(shù)。3.分辨率表明能夠分辨最小的量化信號(hào)的能力,通常用位數(shù)來(lái)表示。例如,12位的ADC的分辨率為212=2048。8.3.2A/D轉(zhuǎn)換的方法和原理ADC的分類(lèi)及其優(yōu)缺點(diǎn)計(jì)數(shù)式ADC:最簡(jiǎn)單,但轉(zhuǎn)換速度最慢。逐次逼近式ADC:轉(zhuǎn)換速度和精度都比較高,且比較簡(jiǎn)單,價(jià)格低,所以在微型機(jī)應(yīng)用系統(tǒng)中最常用。雙積分式ADC:轉(zhuǎn)換精度高,抗干擾能力強(qiáng),但轉(zhuǎn)換速度慢,一般應(yīng)用在精度高而速度不高的場(chǎng)合,如測(cè)量?jī)x表。1.計(jì)數(shù)式A/D轉(zhuǎn)換以最低位為增減量單位的逐步計(jì)數(shù)法2.逐次逼近式從最高位開(kāi)始的逐位試探法3.雙積分式兩個(gè)積分階段實(shí)質(zhì)是電壓/時(shí)間變換IREFIinVinVREF積分器比較器V/IV/I時(shí)鐘啟動(dòng)計(jì)數(shù)計(jì)數(shù)器數(shù)字輸出T2T1Vc固定斜率時(shí)間可變固定時(shí)間斜率可變轉(zhuǎn)換結(jié)束4.用軟件和D/A轉(zhuǎn)換器來(lái)實(shí)現(xiàn)A/D轉(zhuǎn)換鎖存器D/A轉(zhuǎn)換器+-輸入接口D0D7~D0Vx譯碼器WR*ABRD*比較器Vc軟件可以用計(jì)數(shù)式或逐次逼近式實(shí)現(xiàn)實(shí)現(xiàn)逐次逼近過(guò)程的程序段START:XORAX,AX MOVBL,80H MOVCX,08HAGAIN:ADDAL,BL MOVBH,AL OUTPORTA,AL INAL,PORTS ANDAL,01H JZ END1 MOVAL,BL NOTAL ANDAL,BH MOVBH,ALEND1:RORBL,1 MOVAL,BH LOOPAGAIN …8.3.3A/D轉(zhuǎn)換芯片1.ADC0804芯片帶有可控三態(tài)門(mén)CS*和WR*有效,啟動(dòng)轉(zhuǎn)換CS*和RD*有效,讀取數(shù)據(jù)轉(zhuǎn)換結(jié)束,INTR*=0;CPU讀取數(shù)據(jù)后,INTR*=1查詢(xún)方式或中斷方式

VccDB7~DB0CLKR

INTR*CLRINRD*VIN(+)

WR*VIN(-)AGNDDGNDCS*模擬輸入ADC0804+5V2.ADC0809芯片具有A/D轉(zhuǎn)換的基本功能CMOS工藝制作8位逐次逼近式ADC轉(zhuǎn)換時(shí)間為100s包含擴(kuò)展部件多路開(kāi)關(guān)三態(tài)鎖存緩沖器ADC0809的內(nèi)部結(jié)構(gòu)圖ADC0809地址鎖存和譯碼OE通道選擇開(kāi)關(guān)ADDAADDBADDC1N0IN1IN2IN3IN4IN5IN6IN78位三態(tài)鎖存緩沖器DACVcc比較器CLOCKSTARTGNDVREF(+)VREF(-)ALE逐次逼近寄存器SAR定時(shí)和控制D0D1D2D3D4D5D6D7EOCADC0809的轉(zhuǎn)換時(shí)序

ADC0809的模擬輸入提供一個(gè)8通道的多路開(kāi)關(guān)和尋址邏輯IN0~I(xiàn)N7:8個(gè)模擬電壓輸入端ADDA、ADDB、ADDC:3個(gè)地址輸入線ALE:地址鎖存允許信號(hào)ALE的上升沿用于鎖存3個(gè)地址輸入的狀態(tài),然后由譯碼器從8個(gè)模擬輸入中選擇一個(gè)模擬輸入端進(jìn)行A/D轉(zhuǎn)換

ADC0809的數(shù)字輸出ADC0809內(nèi)部鎖存轉(zhuǎn)換后的數(shù)字量具有三態(tài)數(shù)字量輸出端D0~D7配合輸出允許信號(hào)OE當(dāng)輸出允許信號(hào)OE為高電平有效時(shí),將三態(tài)鎖存緩沖器的數(shù)字量從D0~D7輸出ADC0809的工作過(guò)程根據(jù)時(shí)序圖,ADC0809的工作過(guò)程如下:①把通道地址送到ADDA~ADDC上,選擇一個(gè)模擬輸入端;②在通道地址信號(hào)有效期間,ALE上的上升沿使該地址鎖存到內(nèi)部地址鎖存器;③START引腳上的下降沿啟動(dòng)A/D變換;

④變換開(kāi)始后,EOC引腳呈現(xiàn)低電平,EOC重新變?yōu)楦唠娖綍r(shí)表示轉(zhuǎn)換結(jié)束;⑤OE信號(hào)打開(kāi)輸出鎖存器的三態(tài)門(mén)送出結(jié)果。進(jìn)一步應(yīng)考慮的問(wèn)題多個(gè)模擬通道時(shí),程序怎樣編寫(xiě)?ADC位數(shù)大于8位應(yīng)怎樣處理?用8255時(shí)程序應(yīng)怎樣編寫(xiě)?3.AD570芯片分辨率:8位內(nèi)部有輸出三態(tài)門(mén),不可控

AD570不能直接與CPU數(shù)據(jù)總線相連.

ACDC

AINDB7~DB0DR*B/C*模擬輸入B/C*:啟動(dòng)信號(hào),低電平有效;DR*:轉(zhuǎn)換結(jié)束,低電平有效;AC:模擬地;DR:數(shù)字地。啟動(dòng)轉(zhuǎn)換轉(zhuǎn)換結(jié)束8.3.4ADC芯片與主機(jī)的連接ADC芯片相當(dāng)于“輸入設(shè)備”,需要接口電路提供數(shù)據(jù)緩沖器主機(jī)需要控制轉(zhuǎn)換的啟動(dòng)主機(jī)還需要及時(shí)獲知轉(zhuǎn)換是否結(jié)束,并進(jìn)行數(shù)據(jù)輸入等處理1.輸入模擬電壓的連接單端輸入,如ADC0809差動(dòng)輸入,如ADC0804ADC0809與系統(tǒng)的連接模擬輸入端INi單路輸入模擬信號(hào)可連接到任何一個(gè)輸入端;地址線可根據(jù)輸入固定連接;也可以由CPU給一個(gè)固定地址。單路輸入時(shí)ADDCADDBADDAIN4ADC0809輸入多路輸入時(shí)ADDCADDBADDAIN0IN1IN2IN3IN4ADC0809輸入0輸入1輸入2輸入3輸入4CPU指定通道號(hào)+5V多路輸入模擬信號(hào)按順序分別連接到輸入端;要轉(zhuǎn)換哪一路輸入,就將其編號(hào)送到地址線上(動(dòng)態(tài)選擇)。2.數(shù)據(jù)輸出線的連接與主機(jī)的連接可分成兩種方式直接相連:用于輸出帶有三態(tài)鎖存器的ADC芯片通過(guò)三態(tài)鎖存器相連:適用于不帶三態(tài)鎖存器的ADC芯片,也適用帶有三態(tài)鎖存緩沖器的芯片ADC芯片的數(shù)字輸出位數(shù)大于系統(tǒng)數(shù)據(jù)總線位數(shù),需把數(shù)據(jù)分多次讀取數(shù)據(jù)輸出線D0-D7內(nèi)部已接有三態(tài)門(mén),故可直接連到DB上也可另外通過(guò)一個(gè)外部三態(tài)門(mén)與DB相連上述兩種方法均需占用一個(gè)I/O地址D0-D7ADC0809DBOE來(lái)自I/O譯碼D0-D7ADC0809DBOE來(lái)自I/O譯碼直接與DB相連通過(guò)三態(tài)門(mén)與DB相連74LS244+5VDIDOE1#E2#3.A/D轉(zhuǎn)換的啟動(dòng)(1)啟動(dòng)信號(hào)一般有兩種形式脈沖信號(hào)啟動(dòng)轉(zhuǎn)換電平信號(hào)啟動(dòng)轉(zhuǎn)換轉(zhuǎn)換啟動(dòng)轉(zhuǎn)換結(jié)束3.A/D轉(zhuǎn)換的啟動(dòng)(2)主機(jī)產(chǎn)生啟動(dòng)信號(hào)有兩種方法編程啟動(dòng)軟件上,執(zhí)行一個(gè)輸出指令硬件上,利用輸出指令產(chǎn)生ADC啟動(dòng)脈沖,或產(chǎn)生一個(gè)啟動(dòng)有效電平定時(shí)啟動(dòng)啟動(dòng)信號(hào)來(lái)自定時(shí)器輸出兩種連接方法:分別連接:用兩個(gè)信號(hào)分別進(jìn)行控制——需占用兩個(gè)I/O端口或兩個(gè)I/O線(用8255時(shí));統(tǒng)一連接:用一個(gè)脈沖信號(hào)的上升沿進(jìn)行地址鎖存,下降沿實(shí)現(xiàn)啟動(dòng)轉(zhuǎn)換——只需占用一個(gè)I/O端口或一個(gè)I/O線(用8255時(shí))ADC0809ALESTART獨(dú)立連接來(lái)自I/O譯碼1來(lái)自I/O譯碼2ADC0809ALESTART統(tǒng)一連接來(lái)自I/O譯碼地址鎖存信號(hào)ALE和啟動(dòng)轉(zhuǎn)換信號(hào)START4.轉(zhuǎn)換結(jié)束信號(hào)的處理不同的處理方式對(duì)應(yīng)程序設(shè)計(jì)方法不同①

查詢(xún)方式——把結(jié)束信號(hào)作為狀態(tài)信號(hào)②

中斷方式——把結(jié)束信號(hào)作為中斷請(qǐng)求信號(hào)③

延時(shí)方式——不使用轉(zhuǎn)換結(jié)束信號(hào)轉(zhuǎn)換結(jié)束EOC軟件查詢(xún)EOC狀態(tài)EOC通過(guò)一個(gè)三態(tài)門(mén)連到數(shù)據(jù)總線的D0(或D1、D2三態(tài)門(mén)要占用一個(gè)I/O端口地址CPU效率低把EOC作為中斷申請(qǐng)信號(hào),向CPU申請(qǐng)中斷在中斷服務(wù)程序中讀入轉(zhuǎn)換結(jié)果效率高軟件延時(shí)等待(比如延時(shí)1ms)——不用EOC信號(hào)CPU效率最低,只能按最大轉(zhuǎn)換時(shí)間延時(shí)簡(jiǎn)單,容易實(shí)現(xiàn)5.ADC0809的地址線ADDA-ADDC多路輸入時(shí),地址線不能固定連接到+5V或地線,而是要通過(guò)一個(gè)接口芯片與數(shù)據(jù)總線連接。接口芯片可以選用:鎖存器74LS273,74LS373等(要占用一個(gè)I/O地址)可編程并行接口8255(要占用四個(gè)I/O地址)CPU用一條OUT指令把通道地址通過(guò)接口芯片送給ADC0809ADDCADDBADDAIN0IN1IN2IN3IN4ADC0809輸入DB74LS273Q2Q1Q0CP來(lái)自I/O譯碼D0-D7ADDCADDBADDAIN0IN1IN2IN3IN4ADC0809DB8255PB2PB1PB0CS#來(lái)自I/O譯碼D0-D7A1A0A1A0用鎖存器作為ADC0809的接口用8255作為ADC0809的接口D0IN0A15-A0IOR#IOW#D7-D0D7-D0EOCOESTARTALEADDCADDBADDA譯碼器ADC0809一個(gè)連接實(shí)例(用查詢(xún)方式)模擬信號(hào)輸入進(jìn)行一次A/D轉(zhuǎn)換的程序(以上圖為例)用延時(shí)等待的方法

……

MOV DX,start_port OUT DX,AL ;啟動(dòng)轉(zhuǎn)換

CALL DELAY_1MS;延時(shí)1ms

MOV DX,oe_port IN AL,DX ;讀入結(jié)果

……進(jìn)行一次A/D轉(zhuǎn)換的程序(以上圖為例)用查詢(xún)EOC狀態(tài)的方法

MOV DX,start_port OUT DX,AL ;啟動(dòng)轉(zhuǎn)換LL:MOV DX,eoc_port

IN AL,DX ;讀入EOC狀態(tài)

AND AL,01H ;測(cè)試第0位(EOC狀態(tài)位) JZ LL ;未轉(zhuǎn)換完,則循環(huán)檢測(cè)

MOV DX,oe_port IN AL,DX ;讀入結(jié)果

……

例題1:設(shè)EOC接8259的IR7:試編寫(xiě)連續(xù)轉(zhuǎn)換8個(gè)通道的模擬量的程序,并將轉(zhuǎn)換值存在DAT開(kāi)始的單元中。主程序:

CLIMOVBL,0;0通道號(hào)送BLMOVSI,0;設(shè)存放轉(zhuǎn)換值地址指針MOVAL,BL;通道號(hào)送ALOUTADC0809,AL;從0通道開(kāi)始,啟動(dòng)A/D轉(zhuǎn)換;NOPNOPSTI…..IR7中斷服務(wù)程序:INT-AD:

…INAL,ADC0809;讀A/D轉(zhuǎn)換值

MOVDAT[SI],AL;存入DAT中

INCBLINCSICMPBL,8JZEXITMOVAL,BL;若未完成8個(gè)通道的轉(zhuǎn)換,;則啟動(dòng)下個(gè)A/D轉(zhuǎn)換,否則返回

OUTAD0809,AL;啟動(dòng)下個(gè)A/D通道

STIEXIT:IRET設(shè)IR7的類(lèi)型號(hào)為0FH.設(shè)置中斷向量:

0FH4=3CH

0FH4+2=3EHCLIPUSHDSXORAX,AXMOVDS,AXMOVAX,OFFSETINT-ADMOVWORDPTR[003CH],AXMOVAX,SEGINT-ADMOVWORDPTR[003EH],AXPOPDSSTI例題2.設(shè)EOC接8255的PA0:試編寫(xiě)連續(xù)轉(zhuǎn)換

8個(gè)通道的模擬量的程序,并將轉(zhuǎn)換值存在DAT開(kāi)始的單元中。

MOVAL,10010000B;8255A口方式0,輸入

OUT8255-COTR,ALMOVBL,0;0通道號(hào)送BLMOV

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論