Chiplet緩解先進(jìn)制程焦慮行業(yè)巨頭推進(jìn)產(chǎn)業(yè)發(fā)展_第1頁(yè)
Chiplet緩解先進(jìn)制程焦慮行業(yè)巨頭推進(jìn)產(chǎn)業(yè)發(fā)展_第2頁(yè)
Chiplet緩解先進(jìn)制程焦慮行業(yè)巨頭推進(jìn)產(chǎn)業(yè)發(fā)展_第3頁(yè)
Chiplet緩解先進(jìn)制程焦慮行業(yè)巨頭推進(jìn)產(chǎn)業(yè)發(fā)展_第4頁(yè)
Chiplet緩解先進(jìn)制程焦慮行業(yè)巨頭推進(jìn)產(chǎn)業(yè)發(fā)展_第5頁(yè)
已閱讀5頁(yè),還剩39頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

Ce:延續(xù)摩爾定,規(guī)模落地可期et綜合優(yōu)勢(shì)明顯,有效延續(xù)摩爾定律摩爾定律實(shí)現(xiàn)的維度主要分為制造、設(shè)計(jì)、封裝三方面。在制造方面,主要通過(guò)晶體管微縮工藝實(shí)現(xiàn)從130nm逐步向nm甚是2nm邁進(jìn);在設(shè)計(jì)方面,主要通過(guò)各種架構(gòu)演進(jìn)、方案設(shè)計(jì)等方式實(shí)現(xiàn);在封裝方面,主要通過(guò)不同模塊的異質(zhì)集成來(lái)實(shí)現(xiàn),通過(guò)SP、P等方法不斷提高系統(tǒng)化的集成密度。圖1:摩爾定的不同的實(shí)現(xiàn)方式數(shù)據(jù)來(lái)源:ITRS摩爾定律在制造端的提升已經(jīng)逼近極限開(kāi)始逐步將重心轉(zhuǎn)向封裝端和設(shè)計(jì)端著I數(shù)字經(jīng)濟(jì)等應(yīng)用場(chǎng)景的爆發(fā)對(duì)算力的需求更加旺盛,芯片的性能要求也在不斷提高業(yè)界芯片的制造工藝從28nm向7nm以下發(fā)展TSC甚至已經(jīng)有了2nm芯片的風(fēng)險(xiǎn)量產(chǎn)規(guī)劃但隨著線(xiàn)寬逐步逼近原子級(jí)別,工藝制程升級(jí)帶來(lái)的性能、功耗提升的性?xún)r(jià)比越來(lái)越低,封裝端和設(shè)計(jì)端維度的提升開(kāi)始逐步進(jìn)入視野。圖:隨工藝制程的進(jìn)步,單個(gè)晶體管的成不再下(單位:美元)

圖3:先進(jìn)工藝的流片成本越來(lái)越(單位:美元)544332211090m 65m45/40m28m 20m16/14mCostpr.,00gtes

$4.01$2.82$4.01$2.82$1.94$1.55$1.28$1.42$1.310

$542.2$542.2M$297.8M$174.4M$1063M$28.5M$37.7M$51.3M$0.3M65m 40m 28m 22m 16m 10m 7nm 5mAdnedDsignCost數(shù)據(jù)來(lái)源:芯啟源《MsurngMoor’sw》,

芯啟源hilet方正是一種通過(guò)在封裝端和設(shè)計(jì)端的提升來(lái)進(jìn)一步提升芯片的集成化密度從延續(xù)摩爾定律的新型半導(dǎo)體技術(shù)方案其方核心主要包含三個(gè)概念,分別小芯粒、異構(gòu)異質(zhì)和系統(tǒng)級(jí)集成。小芯粒有SoC芯片由種P內(nèi)核設(shè)計(jì)組成小芯粒在設(shè)計(jì)端將各種IP單個(gè)拆分,進(jìn)行芯片。異構(gòu)異質(zhì):將類(lèi)似P、P、DRM等不同結(jié)\工\材質(zhì)芯片合在一起,從而減少傳輸延遲、提高集成度。系統(tǒng)級(jí)集成:在前兩者的基礎(chǔ)上,通過(guò)軟設(shè)計(jì)系統(tǒng)級(jí)高密度的方案,利用各種堆疊封裝技術(shù),更多異構(gòu)異質(zhì)的小芯片進(jìn)行高密度封裝集成,從而實(shí)現(xiàn)良率、成本、性能、商業(yè)風(fēng)險(xiǎn)等方面的綜合提升。圖4:hilet方概念圖數(shù)據(jù)來(lái)源:電子技術(shù)設(shè)計(jì)hilet方通過(guò)將芯片性能的提升和工藝適度解耦合,能夠利用先進(jìn)封裝技術(shù)實(shí)現(xiàn)綜合性能的提升,其主要原因如下:①小芯片優(yōu)化成本將芯片分解成特定的模塊這可以使單個(gè)芯片面積更小并可選擇最合適的工藝,從提高良率降制造成本和門(mén)檻。在降低成本方面:當(dāng)切割芯片的面積越小如圖5所示,綠色芯片的數(shù)量就越多,整體晶圓中可用的芯片面積就越大,單位面積芯片的成本就越低。另外,硅片化IP的復(fù)用,也可以顯著降低成本。在提高良率方面:晶圓存在各種缺陷,當(dāng)芯片的面積越大,它受影響的芯片數(shù)量比例就越大例如如下圖所示一塊晶圓切割3片芯片,有一片受到缺陷影響,良率為2/3;當(dāng)一塊晶圓切割5片芯片,缺陷影響了3片芯片,良率為2/25,整體良率大于2/3。在降低門(mén)檻方面:小芯片化后,不同的芯片可以采用最合適的工藝和架構(gòu)進(jìn)行設(shè)計(jì)制造例如I/Ode因?yàn)楦酉冗M(jìn)的工藝對(duì)其性能的提升有限,可以采用12nm工藝進(jìn)行設(shè)計(jì)制造PUde因?yàn)閷?duì)先進(jìn)工藝要求更高,可以采用7nm/5nm工藝進(jìn)行設(shè)計(jì)制造。整體無(wú)需像SoC一樣I/O和PU的IP都必須采用最先進(jìn)的工藝設(shè)計(jì)制造。圖5:小芯片在單晶圓中的可用面積更大 圖6:小芯片在單晶圓中的良率更高可用部廢棄部多數(shù)據(jù)來(lái)源: 數(shù)據(jù)來(lái)源:備注:紫線(xiàn)為缺陷②小芯片復(fù)性強(qiáng)小芯片可視為固定模塊在不同的產(chǎn)品中根據(jù)需求進(jìn)行組裝復(fù)用類(lèi)似樂(lè)高積木具有極強(qiáng)的靈活性通過(guò)小芯片化甚至最理想的P芯片化不可以減少芯片的設(shè)計(jì)周期加快迭代速度還可以提高芯片的可定制性。以D的系列產(chǎn)品為例,將處理器芯片進(jìn)行解耦合,分成單個(gè)C(orehpti)芯片和一個(gè)I/Od,D和I/O核之間采用第二代InfntyFbrc總線(xiàn)連接其中D采用7nm工藝I/O核用12nm工藝8個(gè)D和1個(gè)SrvrI/Ode可組裝成PCom(霄龍)服務(wù)器處理器8個(gè)D和1個(gè)ntI/Ode可組裝成yn(銳龍3000系列(代號(hào)tss)桌面服務(wù)器D的570hpst也可用現(xiàn)有的小芯片進(jìn)行組裝設(shè)計(jì)。這種固定模塊的小芯片方式多個(gè)小芯片無(wú)需重復(fù)設(shè)計(jì)具有復(fù)用價(jià)值,而且芯可采用最合適的工藝制程可有效提高良率以及降低設(shè)計(jì)門(mén)檻。在可定制性、設(shè)計(jì)周期方、降低成,進(jìn)行極大優(yōu)化。圖7:小芯片的復(fù)用性強(qiáng),類(lèi)似堆積木,可有效優(yōu)化良率、設(shè)計(jì)門(mén)檻、可定制性和設(shè)計(jì)周期數(shù)據(jù)來(lái)源:Hothps,AMD官網(wǎng)③小芯片可高度集成化小芯片利用芯片互連技術(shù)和高密度封裝技術(shù)可輕易集成多核滿(mǎn)足高效能運(yùn)算處理器的需求單片SoC的方案在集成多核方案時(shí),受制于可用的光罩尺寸、良率等問(wèn)題,芯片面積最多只能達(dá)到800mm2hplt核心計(jì)算單元可從16核堆積到4核甚至96核以上。另外,對(duì)于內(nèi)存和che方面,也能實(shí)現(xiàn)高密度集成,從而實(shí)現(xiàn)更低的延遲或者更高的并行運(yùn)算速度。圖8:hilet方案可輕易集成多核,滿(mǎn)足高性能計(jì)算的需求數(shù)據(jù)來(lái)源:Chpt接口IP3DIC混合信號(hào)仿真驗(yàn)證表1:hilet方案相較于大芯片方案,具有多方面的優(yōu)勢(shì)類(lèi)別SoCChilet技術(shù)分立器件設(shè)計(jì)費(fèi)用最高較低最低設(shè)計(jì)費(fèi)用最長(zhǎng),一般超過(guò)18個(gè)月較短,大概12個(gè)月最短,大概6個(gè)月設(shè)計(jì)風(fēng)險(xiǎn)最高較低最低性能最高較高低功耗最低較低,接近oC最高可定制性困難容易非常容易上市時(shí)間最慢較快最快面積大小最小較小最大數(shù)據(jù)來(lái)源:Chpt接口IP3DIC混合信號(hào)仿真驗(yàn)證整體生態(tài)處于早期有望加速落地hilet方案主要由三大環(huán)節(jié)組成,分別是拆、合、封。1)在“拆”的環(huán)節(jié)將原有個(gè)P組成的SoC大芯片進(jìn)行拆分,形成多個(gè)不同的P、I/O等小芯片。拆解后的小芯片可以采用更加適配的工藝節(jié)點(diǎn)和材質(zhì)。其中架構(gòu)設(shè)計(jì)是關(guān)鍵,需要考慮訪(fǎng)問(wèn)頻率、緩存一致性等各問(wèn)題。在“合”的環(huán)節(jié):將不同的小芯片利用內(nèi)部總線(xiàn)互連技術(shù)進(jìn)行電路連接,各電路互相組合,在功耗、通信延遲、帶寬等方面達(dá)到最優(yōu)的效果。與SoC不同的是,前者是芯片間的互連,而后者是IP內(nèi)核間的互連。在“封”的環(huán)節(jié):將組合后的不同的芯片,利用、TS、硅轉(zhuǎn)接板、晶圓等高密度集成的先進(jìn)封裝技術(shù),進(jìn)行組。圖9:hilet方案主要由拆、合、封組成Ze1C單片集成SOC 小芯粒Die2CCXCCX∞∞ ∞∞VO DDR拆Die2CCXCCX∞∞ ∞∞VO DDRVOZe2EPCVO數(shù)據(jù)來(lái)源:電子技術(shù)設(shè)計(jì),hpt關(guān)鍵技術(shù)與挑戰(zhàn),AMD

MC封裝2.封裝()2.封裝()hilet方案的實(shí)現(xiàn)包括hilt的設(shè)計(jì)制造和連接側(cè)的互連制造依據(jù)主要的產(chǎn)業(yè)鏈制順序而言:在設(shè)計(jì)端利用A和IP核進(jìn)行分割的hpt的設(shè)計(jì)連接側(cè)包括硅轉(zhuǎn)接板或者L層的互連建模,之后兩者協(xié)同仿,得到完整的封裝方案的模型。針對(duì)該模依次進(jìn)行時(shí)序分析、電源網(wǎng)絡(luò)分析、可靠性分析以及PA優(yōu)化分析等,從而實(shí)現(xiàn)hpet和連接側(cè)結(jié)合的系統(tǒng)性方案。在封裝端:利用晶圓廠制造完成的hpt與連接側(cè)方案進(jìn)行連接,以2.5D的硅轉(zhuǎn)接板為例將hpt和進(jìn)行TSV打孔的硅轉(zhuǎn)接板相連利用硅轉(zhuǎn)接板內(nèi)部的L層進(jìn)行各個(gè)hpt之間的互連,最后將硅轉(zhuǎn)接板與基板進(jìn)行連接,即完成整體hpt系統(tǒng)性方案的制造。上述在設(shè)計(jì)端和封裝端的步驟,剛好對(duì)應(yīng)拆、合、封三大環(huán)節(jié)。圖10:產(chǎn)業(yè)鏈上下游結(jié)構(gòu) 圖1:hilet在芯片設(shè)端的流程示意圖EDAP

計(jì) 制造 封測(cè) 板 數(shù)據(jù)來(lái)源: Chpt方案研究與展望,圖12:hilet在芯片封端的流程示意圖數(shù)據(jù)來(lái)源:Akorhnooyhilet方案目前無(wú)法規(guī)?;涞氐闹饕茧y點(diǎn):一、hilet的統(tǒng)一接口和標(biāo)準(zhǔn)考慮到互連是hilet的核心之一,互連接口與協(xié)議落地和推行是實(shí)現(xiàn)技術(shù)標(biāo)準(zhǔn)化和產(chǎn)品規(guī)?;年P(guān)鍵2022年3月IntR、臺(tái)積電、日月光等巨頭成立hpt標(biāo)準(zhǔn)聯(lián)盟,制定了通用hpt的高速互聯(lián)標(biāo)準(zhǔn)envrslhpltIntrconnctxprss2021年5月,I(中國(guó)計(jì)算機(jī)互連技術(shù)聯(lián)盟針對(duì)hpt標(biāo)《小芯片接口總線(xiàn)技術(shù)要求》展開(kāi)標(biāo)準(zhǔn)制定工作,集結(jié)了國(guó)內(nèi)產(chǎn)業(yè)鏈60多家單位共同參與研究。hilet總線(xiàn)互連接口與協(xié)議可以劃分為物理(Y層數(shù)據(jù)鏈路層、網(wǎng)絡(luò)層以及傳輸層數(shù)據(jù)鏈路層及以上的其他接口更多依賴(lài)沿用或擴(kuò)展已有接口標(biāo)準(zhǔn)及協(xié)議。最重要的是物理層的接口研究,因?yàn)樗c工、功耗和性能等息息相關(guān)。物理層主要分為串行和并行兩種數(shù)據(jù)通信技術(shù),串行主要分為串行器和解串器Srs,并行則包括低電壓封裝互連IPIN技(TSC提出IB高級(jí)接口總Intl提出以及信號(hào)引線(xiàn)物理互連oW技術(shù)(P提出)等。圖13:物理層接口示意圖 圖14:兩個(gè)hiltie互連場(chǎng)景Chpt接口IP3DIC混合信號(hào)仿真驗(yàn)證 Chpt接口IP3DIC混合信號(hào)仿真驗(yàn)證表2:物理層并行互連的技術(shù)對(duì)比參數(shù) B第一代) 第一代) PO2 BW單le數(shù)據(jù)率/b·s1248srelie帶寬密度bs/)rel帶寬密度b·s/)單位功耗J/i)5565封裝技術(shù)MBMoveosoWoSMP數(shù)據(jù)來(lái)源:異構(gòu)集成芯片關(guān)鍵技術(shù)研究,互連是技術(shù)標(biāo)準(zhǔn)化的重點(diǎn)之一但芯片間互連協(xié)議的標(biāo)準(zhǔn)化方面仍處于發(fā)展演進(jìn)階段相互競(jìng)爭(zhēng)的標(biāo)準(zhǔn)較多括INLnk等標(biāo)準(zhǔn)都已經(jīng)在復(fù)雜的處理器芯片中得到應(yīng)其中雖然L發(fā)布較晚,但因?yàn)镮ntl的業(yè)內(nèi)影響力和產(chǎn)品效應(yīng)大多數(shù)廠商紛紛跟隨并采納技術(shù)發(fā)展較快國(guó)內(nèi)以IA為主導(dǎo)的技術(shù)聯(lián)盟正在進(jìn)行相關(guān)技術(shù)和標(biāo)準(zhǔn)的研發(fā)中。相關(guān)國(guó)內(nèi)公司例如超摩科技也已經(jīng)宣布量產(chǎn)hpt互聯(lián)IP整體解決方案CI,其協(xié)議標(biāo)準(zhǔn)主要采用自有方案,未來(lái)會(huì)考慮協(xié)議間的兼容性。圖15:超摩科技宣布量產(chǎn)高性能hilet互聯(lián)P整體解決方案I數(shù)據(jù)來(lái)源:超摩科技二、A工具鏈和生態(tài)系統(tǒng)的完整性、可持續(xù)性新的A工具鏈?zhǔn)羌鼻行枰?,其主要原因:小芯片之間更密集的互+hlet封裝A的更高要求hpt方將芯片進(jìn)行精細(xì)化切割并進(jìn)行更為密集的互連例如的芯片間的互連位寬為028bt,從而使其整體性能達(dá)到接近甚至超過(guò)SoC內(nèi)部的傳輸效率。對(duì)于hplt的封裝,也需要進(jìn)行額外的A設(shè)計(jì),這些都對(duì)A工具提出了更高的要求。系統(tǒng)性方案帶來(lái)的更嚴(yán)苛的可靠性挑戰(zhàn)hpt方作為一個(gè)整體的系統(tǒng)性方案對(duì)熱效應(yīng)電磁挑戰(zhàn)電容耦合、電感耦合、信號(hào)完整性等方面都提出了全新的要求,需要進(jìn)行針對(duì)性的仿真建模這是原有主要針對(duì)SoC芯片的DA工具相對(duì)薄弱的點(diǎn)。當(dāng)?shù)谌絟pt開(kāi)始被采用時(shí),對(duì)于完整系統(tǒng)的可靠性要求將會(huì)更高。第一種挑戰(zhàn)可能可以采用aene等工具組合設(shè)計(jì)但針對(duì)第二種可靠性調(diào)整,則需要進(jìn)行針對(duì)性?xún)?yōu)化升級(jí)。考慮到無(wú)論是A工具鏈還是之前的協(xié)議標(biāo)準(zhǔn)抑或是制造封裝技術(shù)都處于發(fā)展初期,為了實(shí)現(xiàn)有效的正反饋優(yōu)化,將終端的測(cè)試糾錯(cuò)信息及時(shí)反饋到上游的、設(shè)計(jì)端并進(jìn)行改進(jìn),構(gòu)建一個(gè)完整的、可持續(xù)的生態(tài)系統(tǒng)是極其重要的。圖16:終局的ilet產(chǎn)業(yè)鏈EDA IP

Chiplet設(shè)計(jì)Chiplet封EDA P 大芯片設(shè)計(jì) 制造 封測(cè) 板 板 數(shù)據(jù)來(lái)源:電子技術(shù)設(shè)計(jì),hpt關(guān)鍵技術(shù)與挑戰(zhàn)三、核心封裝技術(shù)的選擇hilet方案對(duì)應(yīng)的封裝技術(shù)包括2D的2.1D的L方案2.5D的oS和D的M等多種技術(shù)需要根據(jù)功耗性能成本等多方面進(jìn)行綜合考慮基于A的芯片評(píng)價(jià)體系實(shí)現(xiàn)系統(tǒng)效率最大化)1)2D的/LSP技術(shù)屬于典型的封裝技術(shù),將多個(gè)不同的芯片在基板上進(jìn)行集成,屬于成本低復(fù)雜度低,但能有效增加管腳數(shù)量,提高芯片集成密度的方案,在M、國(guó)內(nèi)諸如超摩科技等多種產(chǎn)品中使用,是當(dāng)前較為主流的方案。2InFO技術(shù)屬于.1D方案介于M和2.D的oS之間利用L層進(jìn)行集成,線(xiàn)間距接近2微米,引腳數(shù)量約2500個(gè),多于手機(jī)和IoT中,蘋(píng)果最新的1等芯片就是采用該方案。32.5D和3D技術(shù)可以在前兩者的基礎(chǔ)上利用硅轉(zhuǎn)接板等就技術(shù)極強(qiáng)地增大管腳數(shù)量和集成密度例如2.5D的方案相于InFO方案線(xiàn)間距減小到0.4微米,引腳數(shù)量增加到4000個(gè),是InFO方案的1.6倍,但由于成本過(guò)高,多用于云計(jì)算P、數(shù)據(jù)中心中。hilet方案中多芯片集成的封裝方案存在散熱的功耗問(wèn)題、硅轉(zhuǎn)接板等封裝材料太貴的成本問(wèn)題復(fù)雜度過(guò)高的可靠性問(wèn)題,并非適用于所有工藝節(jié)點(diǎn)也并非適用于所有下游應(yīng)用更多時(shí)候作為先進(jìn)工藝制程遇到門(mén)檻時(shí)的一種實(shí)現(xiàn)摩爾定律延方案關(guān)于成本最優(yōu)化的探討可參考第二章22的探討。圖17:SC的多種多芯片封裝集成方案 圖18:不同的成本和性能要求對(duì)應(yīng)不同封裝方案 TMC Thenwfrontrofde-t-dentrfeIP四、產(chǎn)品測(cè)試的復(fù)雜性hilet方由于互連封裝方案的不同,其測(cè)試大多為定制化方案,且包含更多的測(cè)試流程除了常規(guī)的單片集成SoC芯片所需的P測(cè)(芯片針測(cè)、FT測(cè)試(終測(cè),還要包括介質(zhì)層測(cè)試T(中段測(cè)試、ST(系統(tǒng)級(jí)測(cè)試等。測(cè)試流程中,S(已知良好堆疊芯片)測(cè)試需要包含更多的可靠性測(cè)試,是主要的難點(diǎn)之一以M和M為例進(jìn)行對(duì)比:在晶圓級(jí)測(cè)試環(huán)節(jié)RM晶圓的測(cè)試基本相同,M額外增加針對(duì)邏輯晶圓的邏輯測(cè)試包括測(cè)試IPPY電路中缺陷等但是考慮到單顆小芯片的缺陷就會(huì)導(dǎo)致堆疊的SD芯片的性能失敗,因此對(duì)單顆小芯片的測(cè)試性能要求會(huì)更高。在SD測(cè)試環(huán)節(jié)傳統(tǒng)的RM封裝級(jí)產(chǎn)品測(cè)試設(shè)備和解決方法將無(wú)法有效試用,其測(cè)試的挑戰(zhàn)包括動(dòng)態(tài)向量老化應(yīng)力測(cè)試、大量?jī)?nèi)部TSV結(jié)構(gòu)的可靠性測(cè)試、高速性能測(cè)試2.5DSIP測(cè)試等。圖19:先進(jìn)封裝的整體測(cè)試流程 圖20:M測(cè)試比M測(cè)試要求更加高Akorhnooy 數(shù)據(jù)來(lái)源:高帶寬存儲(chǔ)器的技術(shù)演進(jìn)和測(cè)試挑戰(zhàn)Cet封裝高密度集封裝是現(xiàn)et的核心hiet封裝方案可分為2、.1、.5D和D封裝技術(shù)。2D方案性?xún)r(jià)比高但無(wú)法承受大面積集成上升空間有限2.D方案集成度進(jìn)一步提高但技術(shù)難度相對(duì)較大應(yīng)用范圍偏小2.5D方案成本高但硅轉(zhuǎn)接板技術(shù)相對(duì)成熟可集成密度較高雖然價(jià)格昂貴但在服務(wù)器等應(yīng)用領(lǐng)域具有較大潛在價(jià)值,另外結(jié)合3D封裝后,整體成長(zhǎng)空間最大,是延續(xù)摩爾定律的潛在核心方案。從2D到3D,封裝形式多樣先進(jìn)封技不同于傳統(tǒng)封技術(shù),其主要包含、um、afer和SV四個(gè)要素傳統(tǒng)封裝主要括IPFP等引腳封裝和引線(xiàn)框架封裝而諸如F-GFOP和IP等含umpfr和TSV四個(gè)要素之一,均屬于先進(jìn)封裝。hilet封裝方案是小芯粒的異構(gòu)異質(zhì)高密度集成方案,對(duì)應(yīng)不同的封裝類(lèi)別以先進(jìn)封裝技術(shù)為基礎(chǔ)可主要分為2、2.1、2.5D和3D四大類(lèi)。考慮到市場(chǎng)上各家公司對(duì)于封裝方案的定義并不明確本文粗淺根據(jù)在基板基礎(chǔ)上是否有L層和硅橋、是否有無(wú)源硅轉(zhuǎn)接板、是否有有源硅板之間的堆疊,進(jìn)行分類(lèi),依次劃分為2、2.1、2.5D和3D四大類(lèi)其中2D方案由于不使用任何額外高密度/硅等轉(zhuǎn)接板,性?xún)r(jià)比高,在hpt的發(fā)展初期,產(chǎn)品中應(yīng)用廣泛。圖21:從傳統(tǒng)封裝向先進(jìn)封裝發(fā)展 圖22:hilet的各種封裝結(jié)構(gòu)oe AMD表3:hilet的高密度封裝技主要分為2、21、2.5D和3D四大類(lèi),均有相關(guān)產(chǎn)品應(yīng)用量產(chǎn)類(lèi)型技術(shù)特點(diǎn)焊點(diǎn)間距說(shuō)明技術(shù)代表產(chǎn)品應(yīng)用2DMCM,厚度很薄90微米①直接通過(guò)封裝基板走線(xiàn)實(shí)現(xiàn)互連;②無(wú)需基板,直接通過(guò)RL層進(jìn)行互連nF(普通),CMCMF基板良率低,無(wú)法支撐多芯片應(yīng)用)MD的Zn架構(gòu)產(chǎn)品2.1DRL轉(zhuǎn)接板/硅橋(在基板基礎(chǔ)上)3045微米在基板基礎(chǔ)上利用高密度的RL層/嵌硅橋的方式實(shí)現(xiàn)互連MB,nFSoW,nFR/nFoS,nFS,F(xiàn)CoSBCFC,F(xiàn),oosR,oos,蘋(píng)果的M1ltntl的CPU在基板基礎(chǔ)上利用硅轉(zhuǎn)接板實(shí)現(xiàn)互連,海思的鯤鵬920和昇2.5D無(wú)源硅轉(zhuǎn)接板25微米可實(shí)現(xiàn)更高密度的互連(成本高)CoosS,Cub,SionS騰910,MD的n2/3/4架構(gòu)產(chǎn)品3D有源硅之間的疊10微米多在2.5D基礎(chǔ)利用混合鍵合實(shí)現(xiàn)片之間的垂直堆疊CoMBFovosCubW,SoC,BM,MC,3DChe英偉達(dá)的PMD的n2/3/4架構(gòu)產(chǎn)品數(shù)據(jù)來(lái)源各公司官網(wǎng)備注只考慮中段的集成后段的集成如PP技術(shù)暫不考慮相關(guān)技術(shù)分類(lèi)模糊,存在不確定情況一、2D方案的客戶(hù)和產(chǎn)品應(yīng)用2D方案主要為簡(jiǎn)單的CM方案,無(wú)需額外的轉(zhuǎn)接板,成本低,性?xún)r(jià)比,應(yīng)用較為廣泛但無(wú)法支撐多芯大面積應(yīng)用在性能提升上空間有限。2D方案整體厚度較薄,主要為F-M類(lèi)的直接通過(guò)封裝基板走線(xiàn)實(shí)現(xiàn)互連和普通InFO類(lèi)的無(wú)需基板直接通過(guò)L層進(jìn)行互連。F-M類(lèi)受限于F基板良率低,無(wú)法支撐多芯片大面積的應(yīng)用。普通InFO類(lèi)由于沒(méi)有基板,憑PI材的L層,硬度不夠,同樣無(wú)法支撐大面積的多芯片集成。2D方案受益于性?xún)r(jià)比,國(guó)內(nèi)外客戶(hù)多家產(chǎn)品有量產(chǎn),在四種類(lèi)別中應(yīng)用最廣發(fā)展最快D的最初n架構(gòu)的系列產(chǎn)品采用的就是方案,如銳龍、霄龍等。另外,國(guó)內(nèi)包括超摩科技(高性能P、龍芯中科等都有相關(guān)方案研究。圖23:li-hipM方案概念圖 圖24:D的第二代C采用了M方案TMC AMD,Chpt封裝結(jié)構(gòu)與通信結(jié)構(gòu)綜述二、2.1D方案的產(chǎn)品和客戶(hù)應(yīng)用2.1D方案介于2D的CM和2.5D硅轉(zhuǎn)接板之間,成本相對(duì)適中,可集成度較高可適用于大規(guī)模多芯片集成.1D方案主要在基板上采用高密度的L層或者在L層/基板中內(nèi)嵌硅橋來(lái)增大集成密度高密度的L層方案包括特斯拉的IF-So(六層L、SC的InF-/InF-o/InF-I系列、長(zhǎng)電的FI(五層)等。內(nèi)嵌硅橋的方案以Intl的I、日月光的FoS-B為主。2.1D方案的主要缺點(diǎn)在于技術(shù)難度相對(duì)較大目前只在少數(shù)客戶(hù)中使用。例高密度L層的InF-R中,本身InFO工藝就較為復(fù)雜,還需要在PI樹(shù)脂中進(jìn)行多層L高密度布線(xiàn),難度更加巨大。目前主要在蘋(píng)果的1X芯片中使用該方案較多例如內(nèi)嵌硅的IB和FoS方案中,需要額外考慮硅橋和L層/基板的兼容性,目前主要在Intel的產(chǎn)品中使用較多。圖25:esla的nO-SoW方案布置6層L 圖26:OoS-B在扇出L層中內(nèi)嵌硅橋TA官網(wǎng),TMC 數(shù)據(jù)來(lái)源:日月光官網(wǎng)三、2.5D方案的產(chǎn)品和客戶(hù)應(yīng)用2.5D方案利用無(wú)源硅轉(zhuǎn)接板方案可實(shí)現(xiàn)更高密度大面積多芯片的集成方案?jìng)鬏斔俣雀咝阅軆?yōu)越是潛在延續(xù)摩爾定律成長(zhǎng)空間的主要方案。無(wú)源硅轉(zhuǎn)接板利用內(nèi)部L和TSV可實(shí)現(xiàn)內(nèi)部的高密度互連,加上硅技術(shù)較為成熟,成為替代先進(jìn)工藝延續(xù)摩爾定律的中堅(jiān)力量。另外3D方案的拓也主要建立在.5D方案的基礎(chǔ)上主要方案包括臺(tái)積電的ooS系列方案,三星的I-ub,通富的ISonS等。2.5D方案整體性能更為優(yōu)越但由于增加硅轉(zhuǎn)接板成本較高主要用在服務(wù)器數(shù)據(jù)中心等高端應(yīng)用中發(fā)展前景巨大鵬920D的n2以上架構(gòu)產(chǎn)品諸如omMln等服務(wù)器芯片都應(yīng)用ooS方案。以D的n4架構(gòu)的PC7004服務(wù)器芯片為例,其內(nèi)部可封裝的D數(shù)量增加到12個(gè),內(nèi)核增加到96個(gè),可持12通道的5內(nèi)存,提供128條PIE5.0通道,性能十分突出。圖2:SC的.5D方案利用硅轉(zhuǎn)接板集成片

圖2:D的en4架構(gòu)C7004服務(wù)器處理器芯片架構(gòu)TMC AMD四、3D方案的產(chǎn)品和客戶(hù)應(yīng)用3D方案主要在2.5D基礎(chǔ)上利用混合鍵合等方式實(shí)現(xiàn)芯片間的垂直互連,集成密度最大,性能提升也十分可觀,但成本非常高。3D方案為有源硅之間的互連,即芯片之間的互連,為滿(mǎn)足足夠的信息帶寬,使用的互連線(xiàn)的數(shù)量和密度都遠(yuǎn)大于前三種,而且混合鍵合的難度也遠(yuǎn)大于bump鍵合整體成本非常高主要方案括Intl的o-I/Fovros、三星的-ub、TSC的SoI、、3D-che等技術(shù)。3D方案由于成本非常高,相關(guān)應(yīng)用較少,主要在對(duì)性能要求非??量痰母叨藨?yīng)用領(lǐng)域。相關(guān)的3D-che等產(chǎn)品主要用在對(duì)計(jì)算要求較高的I芯片中或者對(duì)延遲要求非常高的游戲PU芯片中M主要將各種M芯片進(jìn)行堆疊,從而擴(kuò)大內(nèi)存容量,在高性能計(jì)算領(lǐng)域需求量較大3D-che主要將3cce堆疊在PU上,以減小延遲,這在游戲領(lǐng)域需求量較大。圖29:Intel的3Do-IB方案集成度非常高 圖30:D的3D-ahe架構(gòu)Intl AMD圖31:混合鍵合可實(shí)現(xiàn)9微米的間距,實(shí)現(xiàn)更高集成度數(shù)據(jù)來(lái)源:AMD高性能大芯片是實(shí)現(xiàn)成本性能最優(yōu)化的應(yīng)用就成本而言先進(jìn)封裝對(duì)先進(jìn)工的大芯片即高性能大芯存明顯成本效。CM等最基本的2D封裝不僅滿(mǎn)足架需求,提高性能,成本還低可能會(huì)被最先大范圍使用.5D等封裝方案成本高但結(jié)合3D封裝后,整體可提升的成長(zhǎng)空間最大,是潛在核心方案。多芯片集成的ilet方案是在以先進(jìn)工藝為基礎(chǔ)的SoC方案遇到摩爾定律發(fā)展的門(mén)檻時(shí)延伸的提升性能減小成本優(yōu)化性?xún)r(jià)比的方案。SoC方案為將C等各種IP內(nèi)核進(jìn)行組合搭配無(wú)需2(etoe的IP而hplt方案為將、C等各種內(nèi)核分別與2DIP進(jìn)行組合,依次封裝,并在基板或者硅轉(zhuǎn)接板上進(jìn)行互連組合,并利用高密度集成封裝方案進(jìn)行封裝。hilet方案的成本隨著集成密度的提高而不斷提高,需要和小芯片的成本進(jìn)行綜合考量,實(shí)現(xiàn)最優(yōu)綜合性能。例如2D方案的CM封裝集成密度最低bump密度為0微米,成本也最低。而LIntrposr和SiIntrposr的集成密度逐步提高bump密度分別到45/30微米,成本也相對(duì)提升,其中硅轉(zhuǎn)接板的成本最高3D封裝的bup密度達(dá)到9微米,成本是所有集成封裝方案中最高的。圖32:SC和hpet方案的概念圖數(shù)據(jù)來(lái)源:ChptAtury:AQuntttveCostModlndMuti-ChptArhttureporton表4:hilet封裝密度越高,成本也越高性能參數(shù)MMLnteposerinteposerD封裝集成密度低較高較高高布線(xiàn)密度/)/4/4bup密度/9設(shè)計(jì)復(fù)雜度低中較高高信號(hào)傳輸長(zhǎng)/m03成本低中較高高供應(yīng)商封測(cè)廠晶圓廠/封測(cè)晶圓廠晶圓廠Chpt關(guān)鍵技術(shù)與挑戰(zhàn)就成本角度進(jìn)行考量一塊單片SC芯或者h(yuǎn)ilt芯片主要成本可粗略劃分為(euingengiein成本和(non-euingengiein)成本。E成本為電路設(shè)計(jì)中的一次性成本,包括軟件、IP授權(quán)、模/芯/封裝設(shè)計(jì)、驗(yàn)證、掩模版等費(fèi)用,針對(duì)于單顆芯片是攤銷(xiāo)后的成本RE成本為大規(guī)模量產(chǎn)中的制造成本,包括晶圓、封裝、測(cè)試等。根據(jù)《hilettuay:AQuntittieotoelandulti-hilethitetuexloation》中的成本模和驗(yàn)證數(shù)據(jù):一在E成本方面,主要包含五部分:)原芯片成本;)芯片缺陷成本原封裝成本封裝缺陷成本因?yàn)榉庋b缺陷導(dǎo)致的s被浪費(fèi)的成本對(duì)于芯片間D帶來(lái)的成本等同于一塊特定的P核。對(duì)于不同的工藝和架構(gòu)它在芯片面積中占一定比例其他諸如bumpng、wfrsrt、測(cè)試等成本由于重要性較低,包含前述五項(xiàng)中,不進(jìn)行額外考慮。就不同的集成的小芯片的數(shù)不同的芯片面積和不同的工藝節(jié)點(diǎn)針對(duì)不同的封裝形式進(jìn)行考量,得出結(jié)論:①工藝節(jié)點(diǎn)越小,芯片面積越大,多芯片集成的hilt方案帶來(lái)的好處越大SoC主要的成本增加來(lái)源于面積增大后導(dǎo)致的芯片缺陷成。以800mm2的5nm工藝SoC芯為例,芯片缺陷成本占總成本超過(guò)50%,而100mm2的芯片中的芯片缺陷成本占比不足10%。當(dāng)對(duì)此芯片進(jìn)行芯粒+高密度封裝,芯片缺陷成本減小一半,哪怕疊加.5D封裝帶來(lái)的封裝成本,其總成本仍小于SoC方案。就2hpts組成的14nm芯片而言只有當(dāng)面積大于700m2,SoC的成本才勉大于C。而對(duì)于InFO和.5,哪怕面積大于900mm2,SoC方案始終占有成本優(yōu)勢(shì)。就2hpts組成的7nm芯片而言,當(dāng)面積于500m2,SoC的成本大于;當(dāng)面積大于800mm2,SoC的成才大于InF;就2hpts組成的5nm芯片而言,當(dāng)面積于300m2,SoC的成本大于;當(dāng)面積大于500mm2,SoC的成于InF;當(dāng)面積大于700mm2,SoC的成才大于2.5D方案;總之,對(duì)于任何工藝節(jié)點(diǎn)芯片面積提升帶來(lái)的好處先進(jìn)工藝節(jié)點(diǎn)會(huì)早于成熟工藝成熟工藝節(jié)點(diǎn)不適高密度hilet的原因在于14nm工藝較為成熟,良率較高,面積增大帶來(lái)的芯片缺陷成本的增加小于2D以及更高級(jí)封裝帶來(lái)的成本增加小面積芯片不適合高密度hilet的原因在于芯片缺陷成本太小,封裝類(lèi)的成本占據(jù)主要。②小芯粒數(shù)量的提升對(duì)成本的優(yōu)具有一定效就5m800mm2的M芯片而言,從3個(gè)小芯粒增加到5個(gè)小芯粒,芯片的缺陷成本的減小約為10%。圖33:不同工藝節(jié)點(diǎn)下,不同芯片集成的歸一化E成本比較數(shù)據(jù)來(lái)源:ChptAtury:AQuntttveCostModlndMuti-ChptArhttureporton備注圖片中的成本均為相對(duì)于100平方毫米的oC芯片的成本進(jìn)行歸一化的結(jié)果二、在E成本方面,多芯片ilet方案會(huì)造成非常高的額外E成本只有當(dāng)量產(chǎn)數(shù)量足夠高才有足夠性?xún)r(jià)比以14m的800m2的芯片為500k的銷(xiāo)量,對(duì)于成本最高的2.5D封裝2D的互連和封裝的成占比分別于2%和9%而hplt1/2模塊和芯片的成本在M中占36在.5D中占31%,占比非常高,不如采用單集成SoC方案。而當(dāng)銷(xiāo)量從500k增加到10M時(shí),hpt方案的成本將大幅縮減。圖多芯片hilt方案會(huì)造成非常高的額外E成本只有當(dāng)量產(chǎn)數(shù)量足夠高,才有足夠性?xún)r(jià)比數(shù)據(jù)來(lái)源:ChptAtury:AQuntttveCostModlndMuti-ChptArhttureporton除了面積、工藝、小芯片的數(shù)量以外,hiet在多芯片架構(gòu)復(fù)用和異構(gòu)方面存也在著巨大的成本優(yōu)勢(shì)。多芯片復(fù)用架構(gòu)主要分為三類(lèi):①S(單芯片多系統(tǒng)C(一中心多拓展FS(固定插座多組合。①SS芯片的復(fù)用使hpt相較于SoC而言節(jié)省一次性投入成本。該種方案只需要一個(gè)芯片即可,適用于同一產(chǎn)品線(xiàn)不同等級(jí)的產(chǎn)品。D和國(guó)內(nèi)最初的產(chǎn)品架構(gòu)就是采用該方案。②M:實(shí)現(xiàn)了異構(gòu)工藝,將不同的成熟工藝產(chǎn)品和先進(jìn)工藝產(chǎn)品進(jìn)行拼接。諸如D的3架構(gòu)采用的就是該方案。③FS將復(fù)用的可能性最大化即將可復(fù)用的芯片最小化這樣一次性投入成本攤銷(xiāo)的收益就越大多芯片集成的hpt方案的成本優(yōu)勢(shì)將會(huì)最大化。圖35:多芯片復(fù)用架構(gòu)主要分為三類(lèi),成本效益逐級(jí)提高數(shù)據(jù)來(lái)源:ChptAtury:AQuntttveCostModlndMuti-ChptArhttureportonCet空間:高算力需求開(kāi)成長(zhǎng),封端是主要受益點(diǎn)AI+數(shù)字經(jīng)催生高算力需求Chiplet深度受益hatPT作為生成式I的現(xiàn)象級(jí)產(chǎn)品將催生龐大的產(chǎn)業(yè)鏈算力需求。htPT是pnI開(kāi)發(fā)的聊天機(jī)器人,在2022年1月推出,一經(jīng)推出,就成為迄今為止用戶(hù)量增長(zhǎng)最快的消費(fèi)應(yīng)用程,僅用2月就積累1億用戶(hù)數(shù)量即使是海外現(xiàn)象級(jí)應(yīng)用Tkok也了9個(gè)月的時(shí)間未來(lái)國(guó)內(nèi)外諸如百度等大模型公司、科大訊飛等應(yīng)用端公司都在積極參與,帶來(lái)龐大的算力需求。圖36:hatT大模型是I基礎(chǔ)設(shè)施,將進(jìn)一步推動(dòng)C行業(yè)發(fā)展數(shù)據(jù)來(lái)源:甲子光年數(shù)字經(jīng)濟(jì)推動(dòng)數(shù)據(jù)中心建設(shè)快速發(fā)展帶動(dòng)計(jì)算需求增長(zhǎng)受益于5、人工智能、大數(shù)據(jù)、云計(jì)算等新興產(chǎn)業(yè)發(fā)展,對(duì)海量數(shù)據(jù)處理的需求不斷提升,數(shù)據(jù)中心成為數(shù)字化發(fā)展的重要基礎(chǔ)設(shè)施。截止2021年底,我國(guó)在用數(shù)據(jù)中心機(jī)架規(guī)模達(dá)到520萬(wàn)架近五年R超過(guò)30%中大型以上機(jī)架規(guī)模達(dá)420萬(wàn)架占比達(dá)80%進(jìn)入數(shù)字經(jīng)濟(jì)時(shí)代數(shù)據(jù)量呈指數(shù)級(jí)增長(zhǎng),對(duì)算力提出了巨大需求。據(jù)sco預(yù),2021年計(jì)算能力更強(qiáng)的超級(jí)數(shù)據(jù)中心將達(dá)到628座,占數(shù)據(jù)中心總量的53%。圖37:中國(guó)數(shù)據(jù)中心機(jī)架規(guī)??焖僭鲩L(zhǎng)(萬(wàn)架) 圖38:全球超級(jí)數(shù)據(jù)中心數(shù)量快速增長(zhǎng)(座) 數(shù)據(jù)來(lái)源《數(shù)據(jù)中心白皮書(shū)(2022年,

soGoblCoudIndx受益于I和數(shù)字經(jīng)濟(jì)的需求,全球、P、I芯片等大算力芯片需求大幅提升。2027年全球PU市場(chǎng)規(guī)模預(yù)計(jì)達(dá)到1853.1億美元,21-27年R為33%2022年P(guān)U的全球市場(chǎng)規(guī)模也已經(jīng)突破1000億美元2024年I的中國(guó)市場(chǎng)規(guī)模也預(yù)計(jì)突破785億元21-4年R為46%。圖39:全球U市場(chǎng)規(guī)??焖僭鲩L(zhǎng)(億美元) 圖40:全球U市場(chǎng)規(guī)模超過(guò)千億美127810379078017821278103790780178210010080604020

2%2%1%1%5%0%0218

219

220

221E

-5%MR,華經(jīng)產(chǎn)業(yè)研究院, ICst

全球P市場(chǎng)規(guī)模(億美元) o()圖41:中國(guó)I芯片市場(chǎng)規(guī)模及預(yù)測(cè)(億元)數(shù)據(jù)來(lái)源:前瞻產(chǎn)業(yè)研究院,海光信息招股說(shuō)明書(shū),hilet方案是繼續(xù)提升大芯片算力的主要方案之一,將伴隨高性能算力需求的爆發(fā)而強(qiáng)勢(shì)增長(zhǎng)據(jù)o2021年先進(jìn)封裝市場(chǎng)收入達(dá)374億美元預(yù)計(jì)2027年將達(dá)到60億美元R為10%其中2.5/3D的市場(chǎng)規(guī)模預(yù)計(jì)27年將達(dá)到150億美元21-27年R為14%。圖42:27年先進(jìn)封裝市場(chǎng)空間將突破650億美元。數(shù)據(jù)來(lái)源:oe產(chǎn)業(yè)生態(tài)發(fā)展早期,封測(cè)端是主要受益點(diǎn)hilet生態(tài)仍處發(fā)展早,就產(chǎn)業(yè)鏈而言?xún)r(jià)值量的增長(zhǎng)點(diǎn)主要集中在封測(cè)端材料端。目前產(chǎn)業(yè)仍處于hpt生態(tài)成長(zhǎng)期,設(shè)計(jì)廠商主要采用已有的DA和IP針對(duì)hpts進(jìn)行自重用和自迭代,工藝和互連標(biāo)準(zhǔn)尚未統(tǒng)一。產(chǎn)業(yè)鏈中最大的價(jià)值量增長(zhǎng)源于新的高密度集成的封裝方案帶來(lái)的封測(cè)端和材料端的應(yīng),未來(lái)隨著生態(tài)和技術(shù)的成熟,A等更上游的價(jià)值量也會(huì)逐步增加。hilet業(yè)務(wù)鏈中,晶圓廠和封測(cè)廠都逐步向產(chǎn)業(yè)鏈下游垂直整合,以擴(kuò)大自身的業(yè)務(wù)空間和利潤(rùn)增長(zhǎng)點(diǎn)。晶圓廠圍繞硅互連技術(shù)進(jìn)行發(fā)展,從帶TSV的轉(zhuǎn)接板向L層、微凸點(diǎn)等領(lǐng)域拓展,自上而下,拓展價(jià)值空間。封測(cè)廠在爭(zhēng)取從原有的基板4凸點(diǎn)向上游hpt業(yè)務(wù)鏈中的L層、TSV轉(zhuǎn)接板、微凸點(diǎn)等方向發(fā)展,因?yàn)樵搲K業(yè)務(wù)細(xì)度不高但有較大業(yè)務(wù)量。不過(guò),封測(cè)廠話(huà)語(yǔ)權(quán)不如晶圓廠大多封測(cè)廠更多向下游拓展,將更多的元器件、射頻器件PIC等集成到基板中,以期獲得更大的價(jià)值量增長(zhǎng)。圖43:hilet方案整體生態(tài)仍處于早期中間中間023年 態(tài)Chiplet生態(tài)成長(zhǎng)期;設(shè)計(jì)商對(duì)自家設(shè)計(jì)的進(jìn)行自重用和自迭代;成型并統(tǒng)一。自家Chiplets 設(shè)計(jì) 制造 封測(cè) IP 基板 有源基板當(dāng)前狀2022及以前態(tài)Chiplet生態(tài)早期;設(shè)計(jì)商發(fā)力,把自家的大片分析,并尋求先進(jìn)封裝合起來(lái);EDA設(shè)計(jì) 制造 封測(cè) IP 基板 EDA數(shù)據(jù)來(lái)源:電子技術(shù)設(shè)計(jì),hpt關(guān)鍵技術(shù)與挑戰(zhàn)在封裝端對(duì)于封裝廠而言?xún)r(jià)值量額外增長(zhǎng)主要集中在微凸點(diǎn)轉(zhuǎn)接板、線(xiàn)互連等領(lǐng)域。①在M的2D領(lǐng)域只增加了額外的基板內(nèi)互連價(jià)值量增長(zhǎng)最低。②在DL轉(zhuǎn)接板的2.1D領(lǐng)(DL整體較薄介于2.5D和2D之,又可稱(chēng)為2.1,主要為臺(tái)積電的InFO和長(zhǎng)的FI等方案。InFO方案是hpfrst技術(shù)沒(méi)有微凸點(diǎn)由于該類(lèi)方案主要由TSC主導(dǎo)下游封測(cè)廠話(huà)語(yǔ)權(quán)較小價(jià)值量仍主要局限于原有封測(cè)領(lǐng)域,如4bump和基板等FI方案是chpst方案,存在微凸點(diǎn),該類(lèi)方包含多層L層微凸點(diǎn)互連線(xiàn)等封測(cè)廠可做價(jià)值量更大。③在硅轉(zhuǎn)接板的2.5D領(lǐng)域,主要為臺(tái)積電的ooS等方案,該方案價(jià)值量較多,包括微凸點(diǎn)、硅轉(zhuǎn)接板TSV等,但同樣受限于TSC等晶圓廠較為強(qiáng)勢(shì)的話(huà)語(yǔ)權(quán),大多硅轉(zhuǎn)接板等價(jià)值量都被晶圓廠拿走但是臺(tái)積電等晶圓廠開(kāi)價(jià)過(guò)高,終端廠等正嘗試分散供應(yīng)鏈,各環(huán)節(jié)找不同的廠商,以實(shí)現(xiàn)利益最大化。④在3D領(lǐng)域如M方案由于精細(xì)要求較高這部分基本全部依賴(lài)晶圓廠,在晶圓制造領(lǐng)域直接堆疊完成。封裝端受益公司包括通富微電長(zhǎng)電科技甬矽電子等,相關(guān)公司均有屬于自己的hilet方案,預(yù)計(jì)都將批量量產(chǎn)。在全球封測(cè)企業(yè)中,不止考慮ST,長(zhǎng)電科技021年?duì)I收排名第,有FI平臺(tái)通富微電2021年?duì)I收排第七,有ISonS平臺(tái);華天2021年?duì)I收排名第八,積極布局先進(jìn)封裝業(yè)務(wù);甬矽電子營(yíng)收排名相對(duì)靠后,但業(yè)務(wù)均是先進(jìn)封裝業(yè)務(wù)。025年最終格局Chiplet生態(tài)成熟期;P誕生一批新公司:小芯設(shè)計(jì)公司、集成小芯片大芯片設(shè)計(jì)公司、有源板供應(yīng)商、用于封裝Chiplet的EDA公司等。EDAIP P 制造封測(cè)025年最終格局Chiplet生態(tài)成熟期;P誕生一批新公司:小芯設(shè)計(jì)公司、集成小芯片大芯片設(shè)計(jì)公司、有源板供應(yīng)商、用于封裝Chiplet的EDA公司等。EDAIP P 制造封測(cè) 基板 有源基板大芯片設(shè)計(jì)Chiplet封裝EDAChiplet設(shè)計(jì)

圖4:OI這類(lèi)LIeoser封裝的額外價(jià)值量主要在L層、微凸點(diǎn)、互連線(xiàn)等(hplast)bumpL層bump基板封BallC4bumpTMC 數(shù)據(jù)來(lái)源:長(zhǎng)電科技,圖46:硅轉(zhuǎn)接板方案的額外價(jià)值量主要在微凸點(diǎn)、硅轉(zhuǎn)接板、線(xiàn)互連等數(shù)據(jù)來(lái)源:TMC在測(cè)試端受益于小芯粒帶來(lái)更多的測(cè)試需求以及SD帶來(lái)更復(fù)雜的測(cè)試要求,相關(guān)測(cè)試公司和測(cè)試設(shè)備公司將深度受益。例如偉測(cè)科技、長(zhǎng)川科技、和微納等都將較為受益。在材料端,受益于ilet的突破和高算力的需求,F(xiàn)膜的需求在不斷增長(zhǎng),相關(guān)基板產(chǎn)業(yè)鏈公司將深度受益例如生益科技、深南電路等都將較為受益。投資建與薦標(biāo)的半導(dǎo)體封行業(yè)公目前整體估值較低我們認(rèn)為隨著hiet的發(fā)展,以及業(yè)績(jī)的逐步復(fù)蘇,行業(yè)將實(shí)現(xiàn)業(yè)績(jī)估值雙增長(zhǎng),維持行業(yè)“增持”評(píng)級(jí)。封測(cè)股票簡(jiǎn)稱(chēng)收盤(pán)價(jià)S營(yíng)收(億元)(元)2022E2023E2024E2022E2023E2024E2022E股票簡(jiǎn)稱(chēng)收盤(pán)價(jià)S營(yíng)收(億元)(元)2022E2023E2024E2022E2023E2024E2022E2023E2022E2023E長(zhǎng)電科技33.81.832.052.32181715344.73華天科技20.49433221120.5通富微電25.20.370.760.84683330204.0甬矽電子31.60.370.841.3085382421.8晶方科技25.60.340.470.6675543910.914.015.411.9偉測(cè)科技108.42.824.345.943825187.411.512.88.2均值5533246.74.9nd注:上述公司盈利均來(lái)自國(guó)泰君安證券預(yù)測(cè)值估值方面對(duì)于半導(dǎo)封測(cè)公司,我根據(jù)凈利率水平營(yíng)收體量封測(cè)技術(shù)情客戶(hù)情以及產(chǎn)品稀缺性與壁壘多方面進(jìn)行考量通常,半導(dǎo)體先進(jìn)封業(yè)務(wù)占比越布局越全面估值越客戶(hù)規(guī)模越大,估值越hpt放越大估值越高考慮到封測(cè)公司營(yíng)收和利潤(rùn)體量都較大,行業(yè)較為成熟,采用E估值??杀裙?年估值均值為24倍相關(guān)公司根據(jù)上述要素進(jìn)行差異性估值重點(diǎn)推長(zhǎng)電科技、通富微電、華天科技、甬矽電和偉測(cè)科技。表6:半導(dǎo)體封測(cè)相關(guān)公司估理由21年凈利

目標(biāo)價(jià)對(duì)應(yīng)估率()率()值水平公司為OAT行業(yè)龍頭,深度受益于先進(jìn)長(zhǎng)電科技9.7%305傳統(tǒng)封裝、FC、晶圓級(jí)封裝2.5D3D封裝市場(chǎng)占比逐漸提高。公司Chpt方案給予24年2倍XDFOI及多個(gè)項(xiàng)目即將放量,考慮營(yíng)收體等量過(guò)大,成長(zhǎng)相對(duì)有限,謹(jǐn)慎起見(jiàn),給予其2024年22倍P。傳統(tǒng)封裝為主,掌公司積極布局先進(jìn)封裝技術(shù),有望打開(kāi)新華天科技11.7%121握F、P、給予24年7倍的成長(zhǎng)空間,給予一定的估值溢價(jià),給予Bupng等工藝其2024年27倍PE公司擁有領(lǐng)先的封裝技術(shù)水平和多樣化的

21年?duì)I(億元) 封測(cè)產(chǎn)品布局 估值理由PEPE通富微電 6.1% 158

傳統(tǒng)封裝和先進(jìn)裝均較為成熟甬矽電子15.7%21 公司深度布局hp甬矽電子15.7%21 公司深度布局hpt底層技術(shù),給予一定先進(jìn)封裝 PE的估值溢價(jià),給予其2024年32倍PE偉測(cè)科技26.8%考慮到Chpt封裝模式將帶來(lái)更多更嚴(yán)苛 給予24年4倍5 獨(dú)立第三方測(cè)試的測(cè)試需求,給予其2024年24倍PE PEnd

產(chǎn)品布局優(yōu)勢(shì),下游客戶(hù)多樣,考慮其ISonS為2.5DChpt方案已經(jīng)為M實(shí)現(xiàn)量產(chǎn),給予一定的估值溢價(jià),給予其2024年36倍PE考慮行業(yè)景氣度提升帶來(lái)的盈利彈性以及

給予24年6倍PE給予24年2倍封測(cè)設(shè)備零部件股票簡(jiǎn)稱(chēng)收盤(pán)價(jià)S營(yíng)收(億元)(元)2022E2023E2024E2022E2023E2024E2023E2024E2023E2024E股票簡(jiǎn)稱(chēng)收盤(pán)價(jià)S營(yíng)收(億元)(元)2022E2023E2024E2022E2023E2024E2023E2024E2023E2024E長(zhǎng)川科技45.30.921.411.9049322440.053.275華峰測(cè)控293.35.777.209.5251413113.717.71915富創(chuàng)精密118.91.041.812.57114664621.831.7118和林微納 88.1 0.45 1.24 1.97 196 71 45 4.2 5.7 19 14均均值 103 52 36 14 10nd注:上述公司盈利預(yù)測(cè)除華峰測(cè)控來(lái)自,其他均來(lái)自國(guó)泰君安證券預(yù)測(cè)值估值方面對(duì)于半導(dǎo)封測(cè)設(shè)備零部公司,我們根凈利率水平營(yíng)收體量、客戶(hù)情以及產(chǎn)品稀缺性與壁壘多方面進(jìn)行考量通常,半導(dǎo)體業(yè)務(wù)占比越布局越全面估值越客戶(hù)規(guī)模越大估值越高??紤]到設(shè)備零部件公司凈利潤(rùn)體量較小,處于成長(zhǎng)期,采用S估值??杀裙?4年估值均值為10倍,相關(guān)公司根據(jù)上述要素進(jìn)行差異性估值,重點(diǎn)推和林微。表8:半導(dǎo)體封測(cè)設(shè)備零部件相關(guān)公司估理由21年凈利

目標(biāo)價(jià)對(duì)應(yīng)估股票簡(jiǎn)

率(

21年?duì)I(億元) 主要產(chǎn)品布局 估值理由公司深耕MMS精微零部件領(lǐng)域,快速展半導(dǎo)體芯片測(cè)試探針業(yè)務(wù),考慮行業(yè)景MMS零部件、半

值水平給予24年5倍和林微納 27.9 3.7nd

導(dǎo)體測(cè)試探

氣度提升帶來(lái)的盈利彈性以及公司穩(wěn)定優(yōu)PS質(zhì)的客戶(hù)資源,給予一定的估值溢價(jià),給予其2024年15倍PS材料hilet技術(shù)趨勢(shì)下,配套F載板國(guó)產(chǎn)化將加速推進(jìn)。F載板具備大尺寸高密度線(xiàn)路高散熱性的特點(diǎn)主要用于PPFPG、SIC等高性能運(yùn)算芯片封裝由于處理器尺寸較大所以hpt技術(shù)需要更大的載板面積和層數(shù)進(jìn)一步加大對(duì)F載板的需求國(guó)內(nèi)企業(yè)積極推進(jìn)hpt開(kāi)發(fā)有望打破海外技術(shù)封鎖從而進(jìn)一步打開(kāi)國(guó)產(chǎn)F載板空間。據(jù)Qsrch,2023年全球F載板市場(chǎng)規(guī)模將達(dá)49.66億美元2028年達(dá)65.9億美GR5.6%中國(guó)增長(zhǎng)最快(R=9.5%預(yù)計(jì)從2023年8.6億美元增長(zhǎng)至208年的1.64億美元。國(guó)內(nèi)企業(yè)在F產(chǎn)業(yè)鏈中積極布局未來(lái)將深度受益(1上游材料:F所需的上游薄膜原料由日本味之素完全壟斷(份額95%以上,此前其擴(kuò)產(chǎn)意愿不足導(dǎo)致F產(chǎn)能受限()中游載板:全球F載板由中國(guó)臺(tái)灣以及日本廠商主導(dǎo),欣興作為龍頭份額高達(dá)21.6%、Ibdn達(dá)19.%T&S為1.0南電為1.5%新光電為12.%國(guó)深南電路興森科技珠海越亞等在F載板中持續(xù)進(jìn)行客戶(hù)導(dǎo)入和產(chǎn)品認(rèn)證深南在廣州及無(wú)錫建設(shè)F-GF-SP項(xiàng)目將逐步投入生產(chǎn)。興森珠?;匾言嚠a(chǎn)成功預(yù)計(jì)232啟動(dòng)客戶(hù)認(rèn)證望233進(jìn)入量產(chǎn)。重點(diǎn)推深南電、興森科、方邦股和生益科。股票簡(jiǎn)稱(chēng)21年凈利21年?duì)I(股票簡(jiǎn)稱(chēng)21年凈利21年?duì)I(億元)產(chǎn)品布局估值理由目標(biāo)價(jià)對(duì)應(yīng)估率()值水平深南電路10.62%139.43F-CP封裝基板參照行業(yè)平均估值(24年P(guān)20X),并考給予24年0倍已具備中階產(chǎn)品樣品制造能力,高產(chǎn)品技術(shù)研發(fā)按已具備中階產(chǎn)品樣品制造能力,高產(chǎn)品技術(shù)研發(fā)按慮公司在PB和基板領(lǐng)域的龍頭地位,予24年P(guān)30倍PE順利推進(jìn)22Q2-Q3逐步啟動(dòng)參照行業(yè)估值水平(2024年P(guān)20X)并考興森科技12.16%給予24年8倍50.40 客戶(hù)認(rèn)證,AF載 慮到其高技術(shù)壁壘IC載板突破后的成長(zhǎng)PE板有望年內(nèi)量產(chǎn) 性,給予其2024年28倍PE生益科技14.43%用于低階AF載板參照行業(yè)估值水平(2024年P(guān)20X)并考的增層材料已出 給予24年5倍202.74 慮到其在覆銅板中的龍頭地位,給予其貨;目前高端產(chǎn)品 PE2024年25倍PE處于認(rèn)證階段方邦股份14.21%超薄可剝離銅箔正在進(jìn)行客戶(hù)認(rèn)證送樣品質(zhì)穩(wěn)定,參照行業(yè)平均估值(2024年P(guān)20X),并寬幅產(chǎn)品已通過(guò)部 給予24年3倍2.86 考慮其新品驗(yàn)證通過(guò)后的業(yè)績(jī)爆發(fā)力,給

分載板廠商的物性、工藝測(cè)試,通過(guò)了部分終端首輪驗(yàn)證

PE予其2024年P(guān)E23倍表10:重點(diǎn)載板公司盈利預(yù)測(cè)及估值(股價(jià)參考2023年3月23日收盤(pán)價(jià))證券代碼證券簡(jiǎn)稱(chēng)收盤(pán)價(jià)S市凈率2022E2023E2024E2022E2023E2024EB()002916.SZ深南電路98.863.203.704.3730.9226.7422.643.62002436.SZ興森科技13.010.310.440.6041.9729.5721.683.25688020.SH方邦股份68.61-0.850.723.77-80.7294.9218.203.45600183.SH生益科技19.610.660.941.1529.7120.8817.123.24平均值0.040.701.845.4743.0319.913.31wnd,(2022年P(guān)E平均值計(jì)算時(shí)剔除方邦科技)風(fēng)險(xiǎn)提示大芯片產(chǎn)品迭代不及預(yù)期hpt的標(biāo)準(zhǔn)生態(tài)和技術(shù)演進(jìn)取決于爆發(fā)性產(chǎn)品的推廣大客戶(hù)牽頭推廣自身hplt產(chǎn)品在大規(guī)模放量的情況下,將吸引更多的產(chǎn)業(yè)鏈供應(yīng)商加入,有望制定統(tǒng)一的標(biāo)準(zhǔn)和生態(tài)。高密度封裝技術(shù)迭代不及預(yù)期高算力大芯片若想進(jìn)一步延續(xù)摩爾定律,則依賴(lài)高密度封裝技術(shù)的綜合性能優(yōu)勢(shì)當(dāng)高密度封裝技術(shù)在PA評(píng)估中表現(xiàn)出足夠的優(yōu)于先進(jìn)工藝的優(yōu)勢(shì)hpt方案才能進(jìn)行大規(guī)模推廣。長(zhǎng)電科(600584)公司首次覆蓋股票研究封測(cè)領(lǐng)域龍頭,i長(zhǎng)電科(600584)公司首次覆蓋股票研究王聰(分析師王聰(分析師)舒迪分析師)陳豪杰(研究助理)02386768200213867666602138038663ngonggtjs.omshudigtjs.omhnhoji026733gtjs.om證書(shū)編號(hào)S0880517010002S0880521070002S0880122080153

首次覆蓋評(píng)級(jí): 增持目標(biāo)價(jià)格: 51.25當(dāng)前價(jià)格: 32.212023.03.22本報(bào)告導(dǎo)讀:公司為芯片封測(cè)領(lǐng)域龍頭廠商積極布局傳統(tǒng)及先進(jìn)封裝業(yè)務(wù)全球半導(dǎo)體市場(chǎng)規(guī)高速增長(zhǎng),受益于先進(jìn)封裝行業(yè)需求驅(qū)動(dòng),公司未來(lái)營(yíng)收有望持續(xù)增長(zhǎng)。投資要點(diǎn):首次覆蓋,給“增持評(píng)級(jí)目標(biāo)價(jià)51.5元。公為ST行業(yè)龍頭,深度受益于先進(jìn)封裝市場(chǎng)占比逐漸提高,業(yè)績(jī)有望持續(xù)增長(zhǎng),給予其2022-2024年P(guān)S為.83/.05/2.2元司FI及多個(gè)項(xiàng)目即將放量4年半導(dǎo)體封測(cè)行業(yè)估值為24倍P,但考慮到公司營(yíng)收體量過(guò)大成長(zhǎng)相對(duì)有限謹(jǐn)慎起見(jiàn)給予其2024年22倍P,目標(biāo)價(jià)51.25元。證券研究報(bào)告全球領(lǐng)先的芯片封裝測(cè)試廠商,國(guó)內(nèi)委外封測(cè)市場(chǎng)份額排名第一。根據(jù)hpInsghts發(fā)布的榜單公司在全球前十大委外測(cè)封廠商中排名第三中國(guó)大陸第一長(zhǎng)電科技的產(chǎn)品服務(wù)和技術(shù)涵蓋了主流集成電路系統(tǒng)應(yīng)用包網(wǎng)絡(luò)通訊移動(dòng)終端高性能計(jì)算車(chē)載電子、證券研究報(bào)告大數(shù)據(jù)存儲(chǔ)、人工智能與物聯(lián)網(wǎng)、工業(yè)智造等領(lǐng)域。積極布局先進(jìn)封裝技術(shù),OI平臺(tái)打開(kāi)hilt業(yè)務(wù)成長(zhǎng)空間。隨著摩爾定律步伐放緩作為推動(dòng)半導(dǎo)體發(fā)展的關(guān)鍵力量先進(jìn)封裝的重要性日益提升根據(jù)oe的數(shù)據(jù)2026年先進(jìn)封裝全球市場(chǎng)規(guī)模將達(dá)到475億美元左,占比達(dá)50%,將為全球封測(cè)市場(chǎng)貢獻(xiàn)主要增量長(zhǎng)電科技近年重加大系統(tǒng)SP晶圓級(jí)和2.5/3D等先進(jìn)封裝技的研發(fā)投入,推出FI新平臺(tái)不斷強(qiáng)化長(zhǎng)電科技在先進(jìn)封裝領(lǐng)域核心競(jìng)爭(zhēng)力。催化劑hpt新產(chǎn)品落地;消費(fèi)電子需求逐步復(fù)蘇。風(fēng)險(xiǎn)提示。設(shè)備供應(yīng)風(fēng)險(xiǎn);貿(mào)易摩擦風(fēng)險(xiǎn)。財(cái)務(wù)摘要(百萬(wàn)元) 2020A 2021A 2022E 2023E 2024E營(yíng)業(yè)收入 26,464 30,502 34,468 38,259 42,850(-)% 12% 15% 13% 1% 12%經(jīng)營(yíng)利潤(rùn)(B) 1,877 3,207 3,292 3,615 4,007(-)% 253% 71% 3% 10% 1%凈利潤(rùn) 1,304 2,959 3,260 3,647 4,134(-)% 1371% 127% 10% 12% 13%

交易數(shù)據(jù)52周內(nèi)價(jià)區(qū)間元) 19.95-.6總市值(百元) 57,31總股本流通A(百萬(wàn)股 1,780,8流通B股H股(百股) 00流通股比例 100%日均成交量百萬(wàn)) 40.24日均成交值百萬(wàn)) 1134.1資產(chǎn)負(fù)債表摘要股東權(quán)益(萬(wàn)元) 23,862每股凈資產(chǎn) 13.41市凈率 2.4凈負(fù)債率 3.32%E(元) A Q1 0.22 0.48Q2 0.53 0.38Q3 0.45 0.51Q4 0.47 0.45全年 1.66 1.8352周內(nèi)股價(jià)走勢(shì)圖長(zhǎng)電科技 上證指數(shù)2%1%8%-2%-1%-2%22-03 22-07 22-11 22-03升幅%) M M 絕對(duì)升幅 12% 35% 26%相對(duì)指數(shù) 14% 29% 26%每股凈收益元)0.731.661.832.052.32每股股利()0.050.20 0.220.250.28利潤(rùn)率和估值指標(biāo) 2020A 經(jīng)營(yíng)利潤(rùn)率) 7.1% 10.5%凈資產(chǎn)收益() 9.7% 14.1%投入資本回率() 6.9% 10.5%VBDA 11.78 9.15

2022E9.5%13.7%9.8%9.06

2023E 2024E9.5% 9.4%13.5% 13.5%9.8% 9.8%7.72 6.66市盈率44.6919.7017.8815.9914.10股息率()0.2%0.6% 0.7%0.8%0.9%模型更新時(shí)間:2023.03.22股票研究 信息科技電子元器件長(zhǎng)電科)首次覆蓋評(píng)級(jí): 增持目標(biāo)價(jià)格: 51.25當(dāng)前價(jià)格: 32.21公司網(wǎng)址ww.tob.om公司簡(jiǎn)介公司全球知名的集成電路封裝測(cè)試企業(yè)。公司面向全球提供封裝設(shè)計(jì)、產(chǎn)品開(kāi)發(fā)及認(rèn)證,以及從芯片中測(cè)、封裝到成品測(cè)試及出貨的全套專(zhuān)業(yè)生產(chǎn)服務(wù)。

長(zhǎng)電科(600584)1m3m

絕對(duì)價(jià)格回報(bào)(%)財(cái)務(wù)預(yù)測(cè)(單位:百萬(wàn)元)損益表2020A2021A2022E2023E2024E營(yíng)業(yè)總收入26,46430,50234,46838,25942,850營(yíng)業(yè)成本22,37424,88728,26430,99034,280稅金及附加48778696財(cái)務(wù)預(yù)測(cè)(單位:百萬(wàn)元)損益表2020A2021A2022E2023E2024E營(yíng)業(yè)總收入26,46430,50234,46838,25942,850營(yíng)業(yè)成本22,37424,88728,26430,99034,280稅金及附加48778696107銷(xiāo)售費(fèi)用225195241306386管理費(fèi)用1,0371,0421,2061,1481,286BT1,8773,2073,2923,6154,007公允價(jià)值變動(dòng)收益67-12000投資收益-1315345383429財(cái)務(wù)費(fèi)用63420610357-20營(yíng)業(yè)利潤(rùn)1,4463,1703,4683,8794,398所得稅125210208233264少數(shù)股東損益22000凈利潤(rùn)1,3042,9593,2603,6474,134資產(chǎn)負(fù)債表貨幣資金交易性金融資產(chǎn)2,2405,4317,98412,25415,383其他流動(dòng)資產(chǎn)149130130130130長(zhǎng)期投資949770770770770固定資產(chǎn)合計(jì)17,79018,42418,26918,03117,694無(wú)形及其他資產(chǎn)527448438448478資產(chǎn)合計(jì)32,32837,09940,56544,84349,345流動(dòng)負(fù)債13,84611,34111,93913,00813,872非流動(dòng)負(fù)債5,0734,7584,7584,7584,758股東權(quán)益13,41021,00023,86827,07830,715投入資本(C)24,80628,56531,43334,64238,280現(xiàn)金流量表NOPLT1,7132,9943,0943,3993,766折舊與攤銷(xiāo)3,3573,6863,3953,6783,977流動(dòng)資金增量-199-2,870-381932-715資本支出-3,013-4,088-3,396-3,612-3,827自由現(xiàn)金流1,858-2782,7134,3973,201經(jīng)營(yíng)現(xiàn)金流5,4357,4296,1478,0897,177投資現(xiàn)金流-2,859-6,316-3,051-3,229-3,399融資現(xiàn)金流-2,246-492-544-590-649現(xiàn)金流凈增加額3296212,5534,2703,130財(cái)務(wù)指標(biāo)成長(zhǎng)性收入增長(zhǎng)率12.5%15.3%13.0%11.0%12.0%EIT增長(zhǎng)率252.5%70.8%2.7%9.8%10.8%凈利潤(rùn)增長(zhǎng)率1371.2%126.8%10.2%11.9%13.4%利潤(rùn)率毛利率15.5%18.4%18.0%19.0%20.0%EIT率7.1%10.5%9.5%9.5%9.4%凈利潤(rùn)率4.9%9.7%9.5%9.5%9.6%收益率凈資產(chǎn)收益率(OE)9.7%14.1%13.7%13.5%13.5%總資產(chǎn)收益率(OA)4.0%8.0%8.0%8.1%8.4%投入資本回報(bào)率(OI)6.9%10.5%9.8%9.8%9.8%運(yùn)營(yíng)能力存貨周轉(zhuǎn)天數(shù)45.744.444.043.044.0應(yīng)收賬款周轉(zhuǎn)天數(shù)48.947.947.045.043.0總資產(chǎn)周轉(zhuǎn)周轉(zhuǎn)天數(shù)448.3409.7405.6401.8395.7凈利潤(rùn)現(xiàn)金含量2.21.7資本支出收入11.4%13.4%9.9%9.4%8.9%償債能力資產(chǎn)負(fù)債率58.5%43.4%41.2%39.6%37.8%凈負(fù)債率141.1%76.7%70.0%65.6%60.7%估值比率PE44.6919.7017.8815.9914.10PB3.922.782.442.151.90EVEITDA11.789.159.067.726.66PS1.981.911.691.521.36股息率0.2%0.6%0.7%0.8%0.9%52周內(nèi)價(jià)格范圍 19.95-32.76市值(百萬(wàn)元) 57,319長(zhǎng)電科技價(jià)格漲幅長(zhǎng)電科技相對(duì)指數(shù)漲幅-10%長(zhǎng)電科技價(jià)格漲幅長(zhǎng)電科技相對(duì)指數(shù)漲幅-10%2023-012022-082022-03-23%股票絕對(duì)漲幅和相對(duì)漲幅28% 57%18% 44%8% 30%-2% 17%-12% 3%銷(xiāo)售收入24E23E22E21A20A7%15%12%9%利潤(rùn)率趨勢(shì)凈資產(chǎn)收益率投入資本回報(bào)率14%11%10%8%7%回報(bào)率趨勢(shì)凈負(fù)債現(xiàn)金百萬(wàn)凈負(fù)債凈資產(chǎn)61%20A21A22E23E24E16107凈資產(chǎn)(現(xiàn))/凈負(fù)債18922 141%18359 125%17796 109%17233 16670 77%2of 2通富微(002156)公司首次覆蓋股票研究先進(jìn)封通富微(002156)公司首次覆蓋股票研究王聰(分析師王聰(分析師)舒迪分析師)陳豪杰(研究助理)02386768200213867666602138038663ngonggtjs.omshudigtjs.omhnhoji026733gtjs.om證書(shū)編號(hào)S0880517010002S0880521070002S0880122080153

首次覆蓋評(píng)級(jí): 增持目標(biāo)價(jià)格: 30.40當(dāng)前價(jià)格: 23.472023.03.22本報(bào)告導(dǎo)讀:公司擁有先進(jìn)的封裝技術(shù),產(chǎn)品布局不斷優(yōu)化,持續(xù)開(kāi)展供應(yīng)鏈戰(zhàn)略管理,Cilet技術(shù)可以滿(mǎn)足客戶(hù)的多樣化需求,未來(lái)營(yíng)收有望持續(xù)增長(zhǎng)。投資要點(diǎn):首次覆蓋,給予增持評(píng)級(jí),目標(biāo)價(jià)3.4元。公司擁有領(lǐng)先的封裝技術(shù)水平和多樣化的產(chǎn)品布局優(yōu)勢(shì)下游客戶(hù)多樣給予其2022-2024年P(guān)S為0.37/.76/0.4元??紤]其ISonS為2.5Dhpt方案,已經(jīng)為D實(shí)現(xiàn)量產(chǎn)24年半導(dǎo)體封測(cè)行業(yè)平均估值為24倍P,給予其2024年36倍P,目標(biāo)價(jià)30.4元。公司先進(jìn)封裝技術(shù)領(lǐng)先,不斷優(yōu)化產(chǎn)品布局。公司已完成5nm制程證券研究報(bào)告的FC技術(shù)產(chǎn)品認(rèn)證同時(shí)再多芯片M技術(shù)方面已確保9顆芯片的M封裝技術(shù)能力。在超大尺寸FG-MM高散熱技術(shù)也完成了新型散熱片的開(kāi)發(fā)2.5/3D先進(jìn)封裝平臺(tái)也取得了突破性進(jìn)展。證券研究報(bào)告把握行業(yè)發(fā)展機(jī)遇持續(xù)開(kāi)展供應(yīng)鏈戰(zhàn)略管理公司目前在南通有3各生產(chǎn)基地同時(shí)在蘇州檳城合肥廈門(mén)進(jìn)行積極布局爭(zhēng)取更多的資源帶來(lái)規(guī)模優(yōu)勢(shì)并且公司不斷優(yōu)化供應(yīng)鏈戰(zhàn)略有效降低成本,為公司經(jīng)營(yíng)穩(wěn)定增長(zhǎng)提供強(qiáng)有力的支撐。后摩爾時(shí)代hilt需求增大客戶(hù)需求穩(wěn)步增長(zhǎng)在7nm5nm的后摩爾時(shí)代hpt技術(shù)可以在提升良率的同時(shí)進(jìn)一步降低設(shè)計(jì)成本和風(fēng)險(xiǎn)公司通過(guò)在多芯片組件集成扇出封裝2.5/3D等先進(jìn)封裝技術(shù)方面的提前布局可為客戶(hù)提供多樣化的hpt封裝解決方案,并且已為D大規(guī)模量產(chǎn)hpt產(chǎn)品,未來(lái)業(yè)績(jī)有望持續(xù)增長(zhǎng)。風(fēng)險(xiǎn)提示行業(yè)景氣度不及預(yù)期技術(shù)研發(fā)失敗的風(fēng)險(xiǎn)國(guó)際貿(mào)易形勢(shì)帶來(lái)的風(fēng)險(xiǎn)。財(cái)務(wù)摘要(百萬(wàn)元) 2020A 2021A 2022E 2023E 2024E營(yíng)業(yè)收入 10,769 15,812 20,398 24,885 30,11(-)% 30% 47% 29% 22% 21%經(jīng)營(yíng)利潤(rùn)(B) 604 1,171 729 1,347 1,532(-)% 196% 94% -38% 85% 14%凈利潤(rùn) 338 957 564 1,154 1,272(-)% 1668% 183% -41% 105% 10%

交易數(shù)據(jù)52周內(nèi)價(jià)區(qū)間元) 12.60-.3總市值(百元) 35,51總股本流通A(百萬(wàn)股 1,513,2流通B股H股(百股) 00流通股比例 88%日均成交量百萬(wàn)) 68.30日均成交值百萬(wàn)) 1442.5資產(chǎn)負(fù)債表摘要股東權(quán)益(萬(wàn)元11,107每股凈資產(chǎn)7.34市凈率3.2凈負(fù)債率66.60%E(元)Q10.100.11Q20.160.13Q30.200.07Q40.170.06全年 0.63 0.3752周內(nèi)股價(jià)走勢(shì)圖通富微電 深證成指3%2%1%-2%-1%-2%22-03 22-07 22-11 22-03升幅%) M M 絕對(duì)升幅 5% 27% 33%相對(duì)指數(shù) 10% 22% 41%每股凈收益元)0.220.630.370.760.84每股股利()0.030.00 0.010.020.02利潤(rùn)率和估值指標(biāo) 2020A 經(jīng)營(yíng)利潤(rùn)率) 5.6% 7.4凈資產(chǎn)收益() 3.5% 9.2投入資本回率() 3.8% 5.9VBDA 15.69 11.35

2022E3.6%4.1%2.9%13.34

2023E 2024E5.4% 5.1%7.8% 7.9%5.1% 5.5%10.67 9.01市盈率104.9437.1262.9830.7827.92股息率()0.1%0.0% 0.0%0.1%0.1%模型更新時(shí)間:2023.03.22股票研究 信息科技電子元器件通富微)首次覆蓋評(píng)級(jí): 增持目標(biāo)價(jià)格: 30.40當(dāng)前價(jià)格: 23.47公司網(wǎng)址ww.tf.om公司簡(jiǎn)介公司是由南通華達(dá)微電子有限公司和富士通(中國(guó))有限公司共同投資由中方控股的中外合資股份制企業(yè),專(zhuān)業(yè)從事集成電路封裝測(cè)試。公司目前的封裝技術(shù)包括BupnLCPFCBGAP等先進(jìn)封測(cè)技術(shù),QFN、FP、O等統(tǒng)封裝技術(shù)以及汽車(chē)電子產(chǎn)品、MMS等封裝技術(shù);測(cè)試技術(shù)包括圓片測(cè)試、系統(tǒng)測(cè)試等。

通富微(002156)1m3m

絕對(duì)價(jià)格回報(bào)(%)財(cái)務(wù)預(yù)測(cè)(單位:百萬(wàn)元)損益表2020A2021A2022E2023E2024E營(yíng)業(yè)總收入10,76915,81220,39824,88530,111營(yíng)業(yè)成本9,10313,09817,12520,46024,854稅金及附加31547187財(cái)務(wù)預(yù)測(cè)(單位:百萬(wàn)元)損益表2020A2021A2022E2023E2024E營(yíng)業(yè)總收入10,76915,81220,39824,88530,111營(yíng)業(yè)成本9,10313,09817,12520,46024,854稅金及附加31547187105銷(xiāo)售費(fèi)用5359126129157管理費(fèi)用3604777148711,054BT6041,1717291,3471,532公允價(jià)值變動(dòng)收益00000投資收益-339000財(cái)務(wù)費(fèi)用240258134124185營(yíng)業(yè)利潤(rùn)3619466001,2281,353所得稅-22-16367481少數(shù)股東損益5010000凈利潤(rùn)3389575641,1541,272資產(chǎn)負(fù)債表貨幣資金交易性金融資產(chǎn)5,2514,1814,7151,3382,211其他流動(dòng)資產(chǎn)177291291291291長(zhǎng)期投資159194214234254固定資產(chǎn)合計(jì)9,03713,16616,45519,38522,031無(wú)形及其他資產(chǎn)565740762784807資產(chǎn)合計(jì)21,23127,10132,62733,86339,568流動(dòng)負(fù)債7,22110,25812,55412,65917,117非流動(dòng)負(fù)債3,9945,8215,8215,8215,821股東權(quán)益10,01511,02214,25315,38416,630投入資本(C)16,77420,34423,57424,70525,952現(xiàn)金流量表NOPLT6401,1916861,2661,440折舊與攤銷(xiāo)1,5522,0292,2792,7303,197流動(dòng)資金增量-2112,7501,185-9852,864資本支出-3,619-6,329-6,136-6,228-6,409自由現(xiàn)金流-1,638-359-1,987-3,2171,093經(jīng)營(yíng)現(xiàn)金流2,7212,8714,2323,1037,537投資現(xiàn)金流-5,205-4,968-6,156-6,248-6,429融資現(xiàn)金流4,0392,3652,458-232-234現(xiàn)金流凈增加額1,555268534-3,377873財(cái)務(wù)指標(biāo)成長(zhǎng)性收入增長(zhǎng)率30.3%46.8%29.0%22.0%21.0%EIT增長(zhǎng)率195.6%94.1%-37.7%84.6%13.8%凈利潤(rùn)增長(zhǎng)率1668.0%182.7%-41.1%104.6%10.2%利潤(rùn)率毛利率15.5%17.2%16.0%17.8%17.5%EIT率5.6%7.4%3.6%5.4%5.1%凈利潤(rùn)率3.1%6.1%2.8%4.6%4.2%收益率凈資產(chǎn)收益率(OE)3.5%9.2%4.1%7.8%7.9%總資產(chǎn)收益率(OA)1.6%3.5%1.7%3.4%3.2%投入資本回報(bào)率(OI)3.8%5.9%2.9%5.1%5.5%運(yùn)營(yíng)能力存貨周轉(zhuǎn)天數(shù)66.148.948.048.048.0應(yīng)收賬款周轉(zhuǎn)天數(shù)56.946.046.046.046.0總資產(chǎn)周轉(zhuǎn)周轉(zhuǎn)天數(shù)624.9550.2527.1480.9439.0凈利潤(rùn)現(xiàn)金含量8.03.0資本支出收入33.6%40.0%30.1%25.0%21.3%償債能力資產(chǎn)負(fù)債率52.8%59.3%56.3%54.6%58.0%凈負(fù)債率112.0%145.9%128.9%120.1%137.9%估值比率PE104.9437.1262.9830.7827.92PB3.262.992.602.402.21EVEITDA15.6911.3513.3410.679.01PS2.901.971.741.431.18股息率0.1%0.0%0.0%0.1%0.1%52周內(nèi)價(jià)格范圍 12.60-24.23市值(百萬(wàn)元) 35,516通富微電價(jià)格漲幅通富微電相對(duì)指數(shù)漲幅-10%通富微電價(jià)格漲幅通富微電相對(duì)指數(shù)漲幅-10%2023-012022-082022-03-28%股票絕對(duì)漲幅和相對(duì)漲幅45% 57%30% 44%15% 30%1% 17%-14% 3%銷(xiāo)售收入24E23E22E21A20A4%47%30%12%利潤(rùn)率趨勢(shì)凈資產(chǎn)收益率投入資本回報(bào)率9%7%5%4%3%回報(bào)率趨勢(shì)凈負(fù)債現(xiàn)金百萬(wàn)凈負(fù)債凈資產(chǎn)112%20A21A22E23E24E11217凈資產(chǎn)(現(xiàn))/凈負(fù)債22933 146%20590 139%18246 132%15903 13560 119%2of 2華天科(002185)公司首次覆蓋華天科(002185)公司首次覆蓋股票研究 王聰(分析師)舒迪分析師)陳豪杰(研究助理)02386768200213867666602138038663ngonggtjs.omshudigtjs.omhnhoji026733gtjs.om證書(shū)編號(hào)S0880517010002S0880521070002S0880122080153本報(bào)告導(dǎo)讀:公司為國(guó)內(nèi)封測(cè)龍頭,現(xiàn)已掌握3、Si、、S、Bumin、anOu、LP等集成電路先進(jìn)封裝技術(shù),前景可期。投資要點(diǎn):首次覆蓋,給“增持評(píng)級(jí)目標(biāo)價(jià)13.3元。公司為國(guó)傳統(tǒng)封測(cè)領(lǐng)先者加快布局先進(jìn)封裝技術(shù)水平不斷提高給予其2022-2024年P(guān)S為.24/0.32/.49元??紤]到公司積極布局先進(jìn)封裝技術(shù),有望打開(kāi)新的成長(zhǎng)空間24年半導(dǎo)體封測(cè)行業(yè)平均估值為24倍P,給予其2024年7倍P,給予目標(biāo)價(jià)1.23元,給“增持”評(píng)級(jí)。證券研究報(bào)告領(lǐng)先的技術(shù)研發(fā)和持續(xù)的產(chǎn)品創(chuàng)新優(yōu)勢(shì),逐步掌握國(guó)際先進(jìn)的封裝核心技術(shù)公司有封裝技術(shù)水平及科技研發(fā)實(shí)力已處于國(guó)內(nèi)同行業(yè)領(lǐng)證券研究報(bào)告先地位,公司現(xiàn)已掌握了3、SP、F、TS、umpng、Fn-ut、P等集成電路先進(jìn)封裝技術(shù)。擁有較強(qiáng)的成本管控,盈利能力處于行業(yè)領(lǐng)先水平,長(zhǎng)期來(lái)看有望進(jìn)一提升市場(chǎng)份額和盈利能力。國(guó)內(nèi)晶圓廠擴(kuò)產(chǎn)帶動(dòng)需封測(cè)求增長(zhǎng),公司業(yè)績(jī)有望持續(xù)提升。根據(jù)JWIsghts數(shù)據(jù)202-2026年國(guó)內(nèi)預(yù)計(jì)新增5座2英寸晶圓廠。晶圓廠的擴(kuò)產(chǎn)帶動(dòng)下游集成電路行業(yè)封測(cè)市場(chǎng)公司業(yè)績(jī)有望進(jìn)一步提升。催化劑hpt新技術(shù)推出;消費(fèi)電子復(fù)蘇。風(fēng)險(xiǎn)提示晶圓廠擴(kuò)產(chǎn)不及預(yù)期行業(yè)景氣度下行風(fēng)險(xiǎn)行業(yè)內(nèi)競(jìng)爭(zhēng)加劇的風(fēng)險(xiǎn)。

首次覆蓋評(píng)級(jí): 增持目標(biāo)價(jià)格: 13.23當(dāng)前價(jià)格: 10.402023.03.22交易數(shù)據(jù)52周內(nèi)價(jià)區(qū)間元)7.81-119總市值(百元)33,327總股本流通A(百萬(wàn)股3,204,04流通B股H股(百股)00流通股比例100%日均成交量百萬(wàn))36.93日均成交值百萬(wàn))353.11資產(chǎn)負(fù)債表摘要股東權(quán)益(萬(wàn)元)15,585每股凈資產(chǎn)4.86市凈率2.1凈負(fù)債率5.79%E(元) Q1 0.090.06Q2 0.100.10Q3 0.130.06Q4 0.120.02全年 0.44 0.2452周內(nèi)股價(jià)走勢(shì)圖華天科技 深證成指6%-1%-9%-1%-2%-3%22-03 22-07 22-11 22-03升幅%)絕對(duì)升幅9%23%-7%財(cái)務(wù)摘要(百萬(wàn)元) 2020A 營(yíng)業(yè)收入 8,382 12,09(-)% 3% 44%經(jīng)營(yíng)利潤(rùn)(B) 977 2,053(-)% 92% 10%凈利潤(rùn) 702 1,416(-)% 145% 102%

2022E12,0970%84

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論