乒乓球比賽模擬機(jī)的課程設(shè)計(jì)報(bào)告_第1頁
乒乓球比賽模擬機(jī)的課程設(shè)計(jì)報(bào)告_第2頁
乒乓球比賽模擬機(jī)的課程設(shè)計(jì)報(bào)告_第3頁
乒乓球比賽模擬機(jī)的課程設(shè)計(jì)報(bào)告_第4頁
乒乓球比賽模擬機(jī)的課程設(shè)計(jì)報(bào)告_第5頁
已閱讀5頁,還剩31頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

徐州師范大學(xué)科文學(xué)院本科生課程設(shè)計(jì)課程名稱:電子線路課程實(shí)訓(xùn)題目:乒乓球比賽模擬機(jī)的設(shè)計(jì)專業(yè)班級(jí):11電信1學(xué)生姓名:學(xué)生學(xué)號(hào):118326115日期:2013/06/01指導(dǎo)教師:科文學(xué)院教務(wù)部印制一、課程設(shè)計(jì)目的、任務(wù)和內(nèi)容要求:本次課程設(shè)計(jì)的內(nèi)容是獨(dú)立完成一個(gè)乒乓球比賽游戲機(jī)的設(shè)計(jì),采用EWB電謝謝閱讀路仿真設(shè)計(jì)軟件完成乒乓球比賽游戲機(jī)電路的設(shè)計(jì)及仿真調(diào)試,在微機(jī)上仿真實(shí)現(xiàn)乒謝謝閱讀乓球比賽游戲機(jī)的設(shè)計(jì)。通過這次課程設(shè)計(jì)讓我們了解和熟悉了乒乓球游戲機(jī)的原理感謝閱讀和Multisim仿真設(shè)計(jì)軟件的操作,也讓我們加深了解了對(duì)雙向移位寄存器、觸謝謝閱讀發(fā)器及、加法器及邏輯門電路的一些實(shí)際用途,并將理論與實(shí)踐相結(jié)合。精品文檔放心下載本課程設(shè)計(jì)的任務(wù)就是獨(dú)立完成一個(gè)乒乓球比賽游戲機(jī)的設(shè)計(jì),采用EWB電路精品文檔放心下載仿真設(shè)計(jì)軟件完成乒乓球比賽游戲機(jī)電路的設(shè)計(jì)及仿真調(diào)試,在微機(jī)上仿真實(shí)現(xiàn)乒乓謝謝閱讀球比賽游戲機(jī)的設(shè)計(jì)。鼓勵(lì)學(xué)生在熟悉基本原理的前提下,與實(shí)際應(yīng)用相聯(lián)系,提出自己的方案,完善感謝閱讀設(shè)計(jì)。具體設(shè)計(jì)任務(wù)如下:謝謝閱讀比賽模擬機(jī)是用發(fā)光二極管()模擬乒乓球運(yùn)動(dòng)軌跡的電子游戲機(jī)。精品文檔放心下載發(fā)光二極管排組雙向移位寄存器控制電路音響電路設(shè)計(jì)要求:、基本部分(1)至少用8個(gè)排成直線,以中點(diǎn)為界,兩邊各代表參賽雙方的位置,其中一個(gè)謝謝閱讀點(diǎn)亮的精品文檔放心下載電路調(diào)節(jié)。(2)當(dāng)球(被點(diǎn)亮的那只)移動(dòng)到某方的最后一位時(shí),參賽者應(yīng)該果斷按下自己謝謝閱讀的按扭使“球”轉(zhuǎn)向,即表示啟動(dòng)球拍擊中,若行動(dòng)遲緩或超前,表示未擊中或違規(guī),感謝閱讀則對(duì)方得一分。(3)設(shè)計(jì)自動(dòng)記分電路,甲乙雙方各用一位數(shù)碼管顯示得分,每記滿9分為一局。謝謝閱讀、發(fā)揮部分(選做)(1)5謝謝閱讀球權(quán)的一方發(fā)球才能有效。(2)發(fā)球次數(shù)能由一位數(shù)碼管顯示。(3)一方得分,電路自動(dòng)響鈴3秒,此期間發(fā)球無效,等鈴聲停止后方可比賽。感謝閱讀課題任務(wù)要求、畫出總體設(shè)計(jì)框圖,以說明乒乓球比賽游戲機(jī)由哪些相對(duì)獨(dú)立的功能模塊組成,標(biāo)精品文檔放心下載出各個(gè)模塊之間互相聯(lián)系,時(shí)鐘信號(hào)傳輸路徑、方向和頻率變化。并以文字對(duì)原理作感謝閱讀輔助說明。、設(shè)計(jì)各個(gè)功能模塊的電路圖,加上原理說明。、選擇合適的元器件,在EWB上連接驗(yàn)證、仿真、調(diào)試各個(gè)功能模塊的電路。在連謝謝閱讀接驗(yàn)證時(shí)設(shè)計(jì)、選擇合適的輸入信號(hào)和輸出方式,在充分電路正確性同時(shí),輸入信號(hào)謝謝閱讀和輸出方式要便于電路的仿真、調(diào)試和故障排除。、在驗(yàn)證各個(gè)功能模塊基礎(chǔ)上,對(duì)整個(gè)電路的元器件和連接,進(jìn)行合理布局,進(jìn)行整謝謝閱讀個(gè)數(shù)字鐘電路的連接驗(yàn)證、仿真、調(diào)試。、自行接線驗(yàn)證、仿真、調(diào)試,并能檢查和發(fā)現(xiàn)問題,根據(jù)原理、現(xiàn)象和仿真結(jié)謝謝閱讀果分析問題所在,加以解決。學(xué)生要解決的問題包括元器件選擇、連接和整體設(shè)計(jì)引感謝閱讀起的問題。二、進(jìn)度安排:第1~3天:查找資料,熟悉的設(shè)計(jì)原理,給出設(shè)計(jì)總體方案;精品文檔放心下載第4~6天:各模塊的詳細(xì)設(shè)計(jì);第7~12天:硬件連線,調(diào)試;第13~14天:寫課程設(shè)計(jì)報(bào)告。三、主要參考文獻(xiàn):.謝自美.電子線路設(shè)計(jì)-實(shí)驗(yàn)-測(cè)試[M].:華中科技大學(xué)出版社,2000感謝閱讀康華光.電子技術(shù)基礎(chǔ)模擬部分.第5.北京:高等教育出版社,2006.485-500精品文檔放心下載康華光.電子技術(shù)基礎(chǔ)數(shù)字部分.第5.北京:高等教育出版社,2006.1-430精品文檔放心下載.電子電工教研室.2007.電子技術(shù)實(shí)驗(yàn).華南農(nóng)業(yè)大學(xué)工程學(xué)院精品文檔放心下載指導(dǎo)教師簽字:年月日目錄摘要…………………….II精品文檔放心下載Abstract…………………II精品文檔放心下載1緒論……………………...1精品文檔放心下載1.1選題背景………….......3精品文檔放心下載1.1.1課題目的及意義………………....3感謝閱讀1.1.2課題的內(nèi)容和要求………………3感謝閱讀1.2方案選擇………….......5精品文檔放心下載2電路組成和工作原理……………………5感謝閱讀3單元電路的設(shè)計(jì)………………………....6感謝閱讀3.1球臺(tái)電路的設(shè)計(jì)……………………...6感謝閱讀3.2驅(qū)動(dòng)控制電路的設(shè)計(jì)…………………8精品文檔放心下載3.3計(jì)分電路的設(shè)計(jì)………………………9精品文檔放心下載4總體電路的設(shè)計(jì)………………………....10精品文檔放心下載5結(jié)果分析…………............11感謝閱讀6總結(jié)…………....................12感謝閱讀7參考文獻(xiàn)………………………................13感謝閱讀附錄A仿真結(jié)果圖………………………13感謝閱讀摘要感謝閱讀模型,分析了其設(shè)計(jì)原理和實(shí)現(xiàn)方法。在設(shè)計(jì)中,充分利用了CD4017計(jì)數(shù)器的功能謝謝閱讀和發(fā)光二極管實(shí)現(xiàn)對(duì)乒乓球運(yùn)動(dòng)軌跡的模擬,利用組成脈沖產(chǎn)生電路、延時(shí)電路感謝閱讀LS90譯碼器和相應(yīng)的邏輯門組精品文檔放心下載成了計(jì)分器電路,單局比分為進(jìn)制,總比分為五局三勝制。設(shè)計(jì)中共有四個(gè)開關(guān),精品文檔放心下載分別是裁判控制開關(guān)、甲乙擊球開關(guān)和清零開關(guān),此外還有控制乒乓球運(yùn)動(dòng)速度的可調(diào)感謝閱讀電位器。關(guān)鍵詞:乒乓球比賽;;;電子線路設(shè)計(jì);精品文檔放心下載Inthispaper,thetabletennissimulationand感謝閱讀designandprincipleblockdiagram,establishedthefunctionalmodulesthe謝謝閱讀model,analyzesthedesignprincipleandmethod.Inthedesign,makefull謝謝閱讀useofthefunctionoftheCD4017counterandlight-emittingdiodesfortable感謝閱讀trajectoryrealizesimulation,theusepulsecircuits,delay謝謝閱讀circuitswitchtocircuit.OntheuseLS90counter,精品文檔放心下載CD4511decodercorrespondinglogicgatesformedcircuit,感謝閱讀singleinningsscoreofthe11intothesystem,thetotalscoreofthefivesets.謝謝閱讀ThedesignofthecommunistpartyofChinafourswitch,respectivelyis感謝閱讀therefereecontrolswitch,partyaswitchandhittheresetswitch,inaddition感謝閱讀tocontroltablemovementspeedadjustablepotentiometer.精品文檔放心下載Keywords:The精品文檔放心下載1緒論1.1選題背景1.1.1課題目的及意義本次課程設(shè)計(jì)的內(nèi)容是獨(dú)立完成一個(gè)乒乓球比賽游戲機(jī)的設(shè)計(jì),采用EWB精品文檔放心下載謝謝閱讀精品文檔放心下載戲機(jī)的原理和Multisim精品文檔放心下載寄存器、雙D觸發(fā)器及、加法器及邏輯門電路的一些實(shí)際用途,并將理論與實(shí)精品文檔放心下載踐相結(jié)合。1.1.2課題的內(nèi)容和要求EWB電路仿真設(shè)計(jì)軟件完成謝謝閱讀感謝閱讀的設(shè)計(jì)。課程設(shè)計(jì)具體內(nèi)容如下:乒乓球比賽是由甲乙雙方參賽,加上裁判的三人感謝閱讀感謝閱讀發(fā)光二極管排組球運(yùn)動(dòng)軌跡的電子游戲機(jī)。雙向移位寄存器控制電路音響電路、基本部分(1)至少用8個(gè)排成直線,以中點(diǎn)為界,兩邊各代表參賽雙方的位置,其謝謝閱讀中一個(gè)點(diǎn)亮的謝謝閱讀度能由時(shí)鐘電路調(diào)節(jié)。(2)感謝閱讀自己的按扭使“球”轉(zhuǎn)向,即表示啟動(dòng)球拍擊中,若行動(dòng)遲緩或超前,表示未擊感謝閱讀中或違規(guī),則對(duì)方得一分。(3)9感謝閱讀、發(fā)揮部分(選做)(1)甲乙雙方各設(shè)一個(gè)發(fā)光二極管表示擁有發(fā)球權(quán),每得5分自動(dòng)交換發(fā)球權(quán),精品文檔放心下載擁有發(fā)球權(quán)的一方發(fā)球才能有效。(2)發(fā)球次數(shù)能由一位數(shù)碼管顯示。(3)一方得分,電路自動(dòng)響鈴3秒,此期間發(fā)球無效,等鈴聲停止后方可比賽。謝謝閱讀課題任務(wù)要求、畫出總體設(shè)計(jì)框圖,以說明乒乓球比賽游戲機(jī)由哪些相對(duì)獨(dú)立的功能模塊組感謝閱讀成,標(biāo)出各個(gè)模塊之間互相聯(lián)系,時(shí)鐘信號(hào)傳輸路徑、方向和頻率變化。并以文感謝閱讀字對(duì)原理作輔助說明。、設(shè)計(jì)各個(gè)功能模塊的電路圖,加上原理說明。、選擇合適的元器件,在EWB上連接驗(yàn)證、仿真、調(diào)試各個(gè)功能模塊的電路。精品文檔放心下載在連接驗(yàn)證時(shí)設(shè)計(jì)、選擇合適的輸入信號(hào)和輸出方式,在充分電路正確性同時(shí),感謝閱讀輸入信號(hào)和輸出方式要便于電路的仿真、調(diào)試和故障排除。謝謝閱讀、在驗(yàn)證各個(gè)功能模塊基礎(chǔ)上,對(duì)整個(gè)電路的元器件和連接,進(jìn)行合理布局,謝謝閱讀進(jìn)行整個(gè)數(shù)字鐘電路的連接驗(yàn)證、仿真、調(diào)試。、自行接線驗(yàn)證、仿真、調(diào)試,并能檢查和發(fā)現(xiàn)問題,根據(jù)原理、現(xiàn)象和精品文檔放心下載精品文檔放心下載整體設(shè)計(jì)引起的問題。1.2方案選擇謝謝閱讀球臺(tái)電路:球跡移動(dòng)電路可采用雙向移位寄存器方法實(shí)現(xiàn),由發(fā)光二極感謝閱讀管作光點(diǎn)模擬乒乓球移動(dòng)的軌跡。驅(qū)動(dòng)控制電路:由雙D觸發(fā)器及邏輯門電路構(gòu)成,通過此電路來控制并感謝閱讀且實(shí)現(xiàn)球臺(tái)燈的左右移位即實(shí)現(xiàn)乒乓球的運(yùn)動(dòng)。計(jì)分電路:使用十進(jìn)制的計(jì)數(shù)器、邏輯門和集成的4管腳的數(shù)碼管來組精品文檔放心下載成計(jì)分電路。操作也很方便,且實(shí)用性較強(qiáng)。故采用此方案進(jìn)行設(shè)計(jì)。2電路組成和工作原理(1)分析系統(tǒng)的邏輯功能,畫出其框圖如下1212圖1如上圖2.1所示,該電路主要由時(shí)鐘信號(hào)源、按鍵電路、球臺(tái)驅(qū)動(dòng)電路,控感謝閱讀制電路,計(jì)數(shù)器,顯示譯碼器和數(shù)碼管等組成。途中標(biāo)出的各種信號(hào)的含感謝閱讀義分別為:表示球臺(tái)驅(qū)動(dòng)電路和計(jì)數(shù)器的時(shí)鐘信號(hào);S表示燈(乒乓球)移謝謝閱讀L表示發(fā)光二極管驅(qū)動(dòng)信號(hào),由L1~L8表示計(jì)數(shù)器的計(jì)精品文檔放心下載數(shù)脈沖信號(hào),由CNT1,CNT2表示開關(guān)控制的外輸入發(fā)球、擊球感謝閱讀信號(hào)。(2)總體思路描述如下:1.用兩個(gè)74LS194四位雙向移位寄存器來模擬乒乓球臺(tái),其中第一個(gè)感謝閱讀74LS194的輸出端QD接第二個(gè)的右移串行輸入端SR,這樣當(dāng)乒乓球往感謝閱讀右準(zhǔn)備移出第一個(gè)寄存器的時(shí)候就會(huì)在時(shí)鐘脈沖的作用下被移入第二個(gè)寄存器。精品文檔放心下載同樣道理,第二個(gè)74LS194的AR輸出端接第一個(gè)的左移串行輸入端。精品文檔放心下載2.用雙D74LS74及邏輯門電路構(gòu)成驅(qū)動(dòng)控制電路精品文檔放心下載3.用十進(jìn)制計(jì)數(shù)器74LS160D、邏輯門電路和集成的4管腳的數(shù)碼管組成精品文檔放心下載計(jì)分電路3單元電路的設(shè)計(jì)3.1球臺(tái)電路的設(shè)計(jì).球臺(tái)電路如下圖2設(shè)計(jì)所示:L4L3L3L2L1L1L2L5L6L6L7L7L4L5L8L85141312151413121AQBQCDQ74LS194D74LS194DABCDVCCLSCLKRS0S34567201911VCCS0RKLL1CCAB~SCD345672019111RLKLLR01CC~SSSSS0S1GNDGNDJ2J3S1J2J3圖2上圖中,兩片4位74LS194雙向移位寄存器接成8位雙向移位寄存器。感謝閱讀74LS194功能表如表1表1SRD1S00××100101110111功能說明:(1)當(dāng)S1=S0=1時(shí),不管各輸入端原來是什么狀態(tài),在下一個(gè)時(shí)脈沖到來時(shí),感謝閱讀其輸出分別是預(yù)先輸入到并行輸入端的,這種方式叫送數(shù)。感謝閱讀(2)當(dāng)S1=0S0=1時(shí),其工作方式叫右移,這時(shí),每來一個(gè)時(shí)鐘脈沖,輸謝謝閱讀出端的數(shù)各向右移一位,而QA端的輸出則由加到R端的數(shù)來補(bǔ)充。感謝閱讀(3)當(dāng)S1=1,S0=0時(shí),其工作方式叫左移,情況正好與右移相反;Q謝謝閱讀D端的輸出由加到L端的數(shù)來補(bǔ)充。(4)當(dāng)S1=S0=0時(shí),不管是否有脈沖作用,輸出保持不變,這叫保持感謝閱讀方式。CP=0時(shí)也是保持方式。3.2驅(qū)動(dòng)控制電路的設(shè)計(jì)驅(qū)動(dòng)控制電路設(shè)計(jì)如下圖3所示S1S0S1S0VCC5656J1J141~QQQQ1111~~RR74LS74D1474LS74DLPC11~K~KLLCCDD1111RLC1~123236787400N7432N7400NL8L1105U6BL1J3J2J27409N7409NL8J3圖3圖中74LS74為上升沿觸發(fā)的D觸發(fā)器,~PR為置1為精品文檔放心下載置0J1=0D觸發(fā)器輸出端均為1即S1=S0=1,通謝謝閱讀過接入74LS194,此時(shí)實(shí)現(xiàn)的是并行輸入功能。當(dāng)J1=1時(shí),L1=J2=1,精品文檔放心下載J3=L8=0,通過各門電路可知U2A,U4A,U2B輸出端分別為,,,則D觸謝謝閱讀發(fā)器輸出端分別為1即S1=0,S0=1。相反情況時(shí),當(dāng)J1=1,精品文檔放心下載J3=L8=1,D觸發(fā)器輸出端分別為,0即S1=1,S0=0。通過此電路來控制并謝謝閱讀且實(shí)現(xiàn)球臺(tái)燈的左右移位即實(shí)現(xiàn)乒乓球的運(yùn)動(dòng)。3.3計(jì)分電路的設(shè)計(jì)計(jì)分電路的設(shè)計(jì)如下圖4所示:PlayerADCD_HEXX1052.5VVCC43214131211151GNDABCOKLDCQQQQCR74LS160DDARPTOLNNLCABCDEE~~0345671912GND8VCCCLKL1L1U12AJ2J2U10A67409N7404N圖4如上圖所示,計(jì)分電路由一個(gè)非門,7409與門和十進(jìn)制的計(jì)謝謝閱讀數(shù)器構(gòu)成。得分真值表二如下表二所示:表2J2(A)J3Y(A)Y(B)100001001010由上表可得上圖中非門和與門的接法。同步十進(jìn)制計(jì)數(shù)器74LS160的功能表如下表三所示:感謝閱讀表3RDLDEP工作狀態(tài)ET×0×××置零10××預(yù)置數(shù)×1101保持×11×0)1111計(jì)數(shù)由74LS160謝謝閱讀4中的~CLR=~LOAD=ENT=ENP=1時(shí)。選用ENP、ENT作為的計(jì)數(shù)控制端,當(dāng)ENT=ENP=1感謝閱讀ENT=ENP=0精品文檔放心下載選手計(jì)滿9分時(shí)給出報(bào)警信號(hào)。4總體電路的設(shè)計(jì)精品文檔放心下載電路圖,其圖如下圖五所示:L1L2L3L4L5L6L7L82.5V2.5V2.5V2.5V2.5V2.5V謝謝閱讀141718

5141312151413121PlayerBVCCABCDBCDAQQQQU1QQQQU274LS194D74LS194DRKLLLR01CLR01C12VSABCDSSSS~ABCDSSSRLC~KLC111VCC12VPlayerA010345672911134567291DCD_HEXDCD_HEX

3132X10

33VCCV1

X9GND9131kHzU3AU3B2.5V876112.5V12105V565645QQQQ

1111~R~R

R74LS74DR74LS74DLL4141

PPCC11114321543215

1111111111謝謝閱讀~~K~K~34LLCCDD

111ABCD1OABCDOQQQQCU7CU8J3QQQQR74LS160D2223374LS160D232DD29ARAR

LLKK

PTOLPTOLABCDABCDCNNLCNNLC

CKey=SpaceU4B27VCCU4AU5A03456719127400N34567191感謝閱讀2GND357400N7432NGNDU11A28

J2253U6B

U6AJ17409N24U12A

267409N7409NKey=B

2Key=AU10A307409N

U9A7404N7404NGND5結(jié)果分析在進(jìn)行仿真運(yùn)行的過程中,先將開關(guān)C的感謝閱讀位置。然后選著發(fā)球方A或B,若A先發(fā)球,就將開關(guān)A往上打,即精品文檔放心下載B方的最后一位時(shí),精品文檔放心下載將BB就會(huì)得一分,反之,若行動(dòng)遲緩或超前,表示未擊中謝謝閱讀或違規(guī),則對(duì)方得一分。若B先發(fā)球,也是一樣的操作。當(dāng)一方得分滿9謝謝閱讀分時(shí),將會(huì)給出報(bào)警信號(hào),即燈亮,表一局完,數(shù)碼管將從新計(jì)數(shù)。感謝閱讀6總結(jié)Multisim仿謝謝閱讀Multisim仿真軟件,可以讓我們?cè)诟兄x閱讀感謝閱讀精品文檔放心下載提高實(shí)驗(yàn)的效率。雖然這次的數(shù)電課程設(shè)計(jì)時(shí)間短暫,但卻讓我得到了多方面的提高:、提精品文檔放心下載高了我們的邏輯思維能力,使我們?cè)谶壿嬰娐返姆治雠c設(shè)計(jì)上有了很大的進(jìn)步。精品文檔放心下載謝謝閱讀謝謝閱讀中的至關(guān)重要性精品文檔放心下載精品文檔放心下載網(wǎng)絡(luò)查到,但由于時(shí)間和資料有限我們更多的還是獨(dú)立思考。,相互討論共同感謝閱讀感謝閱讀乒乓球游戲機(jī)怎樣計(jì)分等的分析。還使我懂得了理論與實(shí)際相結(jié)合是很重要的,謝謝閱讀精品文檔放心下載感謝閱讀謝謝閱讀次做,難免會(huì)遇到過各種各樣的問題,我們必須要學(xué)著自己去找資料、去理解、謝謝閱讀感謝閱讀謝謝閱讀固,沒有在日常生活中將理論與實(shí)際相結(jié)合起來,以后要多加努力才行??!謝謝閱讀參考文獻(xiàn)【】《模擬電子技術(shù)基礎(chǔ)》(第三版),童詩白主編,高教出版社感謝閱讀【】《數(shù)字電子技術(shù)基礎(chǔ)》(第四版/第五版),閻石主編,高教出版社感謝閱讀【】《電子測(cè)試技術(shù)》金唯香、謝玉梅主編,湖南大學(xué)出版社謝謝閱讀電路設(shè)計(jì)及仿真入門與應(yīng)用》,鄭步生、吳渭主編,電精品文檔放心下載子工業(yè)出版社【】《電子EDA實(shí)踐教程》楊曉慧、許紅梅主編,國(guó)防工業(yè)出版社感謝閱讀附錄A仿真結(jié)果圖如下圖六所示:L1L3L4L5L7L82.5V2.5V2.5V精品文檔放心下載512141517413121161854321111ABCDBCDAQQQQQQRLCQ~12VQKLC1R11KLLLR01CLR01C12VABCDSSSS~ABCDSSSS0103456729111345672913132X10

33913

2.5V76112.5V12105V5656845QQQQ

1111~R~RR74LS74DRLL4141

PPCC

1143215K~K謝謝閱讀43215~~11111111111134LL

CCJ3DD

11ABCD1OABCDO

1QQQQCC

QQQU8Q

R32223223DD29ARAR

PTOLPTOLKK

LLABCDABCDCNNLCNNLC

EE~~EE~~謝謝閱讀C=C2703

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。