計(jì)算機(jī)組成原理實(shí)驗(yàn)PPT軟件工程_第1頁(yè)
計(jì)算機(jī)組成原理實(shí)驗(yàn)PPT軟件工程_第2頁(yè)
計(jì)算機(jī)組成原理實(shí)驗(yàn)PPT軟件工程_第3頁(yè)
計(jì)算機(jī)組成原理實(shí)驗(yàn)PPT軟件工程_第4頁(yè)
計(jì)算機(jī)組成原理實(shí)驗(yàn)PPT軟件工程_第5頁(yè)
已閱讀5頁(yè),還剩108頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

計(jì)算機(jī)組成原理實(shí)驗(yàn)PPT軟件工程2023/6/61第一頁(yè),共一百一十三頁(yè),編輯于2023年,星期二實(shí)驗(yàn)一運(yùn)算器實(shí)驗(yàn)

實(shí)驗(yàn)二進(jìn)位運(yùn)算和移位運(yùn)算實(shí)驗(yàn)實(shí)驗(yàn)四數(shù)據(jù)通路實(shí)驗(yàn)實(shí)驗(yàn)三存儲(chǔ)器實(shí)驗(yàn)實(shí)驗(yàn)?zāi)夸泴?shí)驗(yàn)五微程序控制實(shí)驗(yàn)實(shí)驗(yàn)六基本模型機(jī)的設(shè)計(jì)與實(shí)現(xiàn)第二頁(yè),共一百一十三頁(yè),編輯于2023年,星期二實(shí)驗(yàn)一運(yùn)算器實(shí)驗(yàn)

實(shí)驗(yàn)?zāi)康暮鸵髮?shí)驗(yàn)設(shè)備實(shí)驗(yàn)內(nèi)容實(shí)驗(yàn)步驟

實(shí)驗(yàn)注意事項(xiàng)第三頁(yè),共一百一十三頁(yè),編輯于2023年,星期二1.1實(shí)驗(yàn)?zāi)康暮鸵笫煜?shí)驗(yàn)裝置學(xué)習(xí)算術(shù)邏輯單元電路的構(gòu)成及其工作原理,掌握運(yùn)算器實(shí)驗(yàn)的數(shù)據(jù)傳送通路的結(jié)構(gòu)及不同實(shí)驗(yàn)狀態(tài)下的各運(yùn)算數(shù)據(jù)的流程。驗(yàn)證運(yùn)算功能發(fā)生器(74LS181)的組合功能按指定的數(shù)據(jù)完成幾種指定的算術(shù)和邏輯運(yùn)算

第四頁(yè),共一百一十三頁(yè),編輯于2023年,星期二1.2實(shí)驗(yàn)設(shè)備JYS-4計(jì)算機(jī)組成原理教學(xué)實(shí)驗(yàn)裝置排線、導(dǎo)線若干實(shí)驗(yàn)仿真軟件一套第五頁(yè),共一百一十三頁(yè),編輯于2023年,星期二第六頁(yè),共一百一十三頁(yè),編輯于2023年,星期二第七頁(yè),共一百一十三頁(yè),編輯于2023年,星期二第八頁(yè),共一百一十三頁(yè),編輯于2023年,星期二第九頁(yè),共一百一十三頁(yè),編輯于2023年,星期二第十頁(yè),共一百一十三頁(yè),編輯于2023年,星期二1.3實(shí)驗(yàn)內(nèi)容1)熟悉實(shí)驗(yàn)設(shè)備及使用方法JYS-4計(jì)算機(jī)組成原理實(shí)驗(yàn)裝置是一種能夠通過(guò)多種“原理計(jì)算機(jī)”的設(shè)計(jì)和構(gòu)造,來(lái)靈活地實(shí)現(xiàn)“計(jì)算機(jī)組成原理”課程的實(shí)驗(yàn)教學(xué),以滿(mǎn)足不同層次和不同教學(xué)環(huán)節(jié)實(shí)驗(yàn)要求的開(kāi)放式教學(xué)實(shí)驗(yàn)設(shè)備。使用JYS-4計(jì)算機(jī)組成原理實(shí)驗(yàn)裝置可完成運(yùn)算器實(shí)驗(yàn)、進(jìn)位和移位控制實(shí)驗(yàn)、靜態(tài)存儲(chǔ)器原理實(shí)驗(yàn)、計(jì)算機(jī)的數(shù)據(jù)通路實(shí)驗(yàn)、微程序控制器實(shí)驗(yàn)、基本模型機(jī)的設(shè)計(jì)與實(shí)現(xiàn)實(shí)驗(yàn)、帶移位運(yùn)算的模型機(jī)的設(shè)計(jì)與實(shí)現(xiàn)等實(shí)驗(yàn)。第十一頁(yè),共一百一十三頁(yè),編輯于2023年,星期二1.3實(shí)驗(yàn)內(nèi)容1)熟悉實(shí)驗(yàn)設(shè)備及使用方法JYS-4計(jì)算機(jī)組成原理實(shí)驗(yàn)裝置采用內(nèi)、外總線結(jié)構(gòu),并按開(kāi)放式結(jié)構(gòu)要求設(shè)計(jì)了各關(guān)聯(lián)的單元實(shí)驗(yàn)電路,除進(jìn)一步規(guī)范了可組成的原理計(jì)算機(jī)結(jié)構(gòu)外,也為實(shí)驗(yàn)教學(xué)提供了充足的硬件可設(shè)計(jì)空間和軟件可設(shè)計(jì)空間,在實(shí)驗(yàn)電路構(gòu)造方面,系統(tǒng)也提供了多種手段,可按部件層次組合方式逐次構(gòu)造不同結(jié)構(gòu)和復(fù)雜程度的部件實(shí)驗(yàn)電路及模型計(jì)算機(jī)。第十二頁(yè),共一百一十三頁(yè),編輯于2023年,星期二1.3實(shí)驗(yàn)內(nèi)容1)熟悉實(shí)驗(yàn)設(shè)備及使用方法整個(gè)實(shí)驗(yàn)儀器是由分散元器件構(gòu)成,包括計(jì)算機(jī)中的各組成部件:運(yùn)算器、存儲(chǔ)器、控制器等,這些器件的內(nèi)部連線已經(jīng)連好,需要連接的是一些控制信號(hào)線。實(shí)驗(yàn)板上對(duì)各個(gè)器件的劃分比較清楚,都用白色框線表示,每個(gè)器件的名稱(chēng)也用白色注明。第十三頁(yè),共一百一十三頁(yè),編輯于2023年,星期二JYS-4硬件系統(tǒng)布局圖第十四頁(yè),共一百一十三頁(yè),編輯于2023年,星期二1.3實(shí)驗(yàn)內(nèi)容1)熟悉實(shí)驗(yàn)設(shè)備及使用方法掌握拿線的方法和要點(diǎn)(如手指捏住的不是導(dǎo)線,而是導(dǎo)線的線座)。插拔導(dǎo)線的方法要點(diǎn)(注意線座的角度與排針保持零角度方向運(yùn)動(dòng))。對(duì)于兩根一股以上的“排線”,注意連接信號(hào)的對(duì)號(hào)入座(以不同的色彩來(lái)區(qū)分不同的信號(hào))。防止線座與相對(duì)應(yīng)的排針之間的竄位連接或反順序連接。第十五頁(yè),共一百一十三頁(yè),編輯于2023年,星期二接線時(shí)將電源斷開(kāi),全部接好線后檢查無(wú)誤,方可打開(kāi)電源,嚴(yán)禁帶電插拔連線。相互沖突的總線控制信號(hào)要確?;コ獬霈F(xiàn),否則會(huì)出現(xiàn)總線沖突,導(dǎo)致燒毀器件。認(rèn)真對(duì)待,反復(fù)檢查、確認(rèn);不太確定的事情不能草率行事,經(jīng)指導(dǎo)老師確認(rèn)后再做。插拔排線時(shí)動(dòng)作要慢,對(duì)準(zhǔn)板子上的排針垂直插入和拔出,以免損傷排針和排線。當(dāng)使用排線連接多個(gè)數(shù)據(jù)線和控制信號(hào)時(shí),注意對(duì)應(yīng)關(guān)系,排線中各條線的顏色可以幫助辨認(rèn)。第十六頁(yè),共一百一十三頁(yè),編輯于2023年,星期二1.3實(shí)驗(yàn)內(nèi)容2)熟悉算術(shù)邏輯運(yùn)算單元電路的結(jié)構(gòu)與工作原理算術(shù)邏輯運(yùn)算單元電路的結(jié)構(gòu)使用2片74LS181以并串連形式構(gòu)成8位字長(zhǎng)ALU,ALU輸出經(jīng)過(guò)三態(tài)門(mén)同數(shù)據(jù)總線相連。運(yùn)算器的兩輸入端的數(shù)據(jù)分別由兩個(gè)鎖存器鎖存,鎖存器輸入與數(shù)據(jù)總線相連,數(shù)據(jù)開(kāi)關(guān)用來(lái)給出參與運(yùn)算的數(shù)據(jù),通過(guò)三態(tài)門(mén)和數(shù)據(jù)總線相連,數(shù)據(jù)顯示燈與數(shù)據(jù)總線相連,用來(lái)顯示數(shù)據(jù)總線內(nèi)容。實(shí)驗(yàn)過(guò)程中,ALU根據(jù)不同運(yùn)算控制信號(hào)對(duì)2個(gè)鎖存器中的二進(jìn)制數(shù)進(jìn)行算術(shù)或邏輯運(yùn)算。運(yùn)算結(jié)果經(jīng)三態(tài)門(mén)送到數(shù)據(jù)總線。第十七頁(yè),共一百一十三頁(yè),編輯于2023年,星期二運(yùn)算器電路結(jié)構(gòu)圖第十八頁(yè),共一百一十三頁(yè),編輯于2023年,星期二1.3實(shí)驗(yàn)內(nèi)容2)熟悉算術(shù)邏輯運(yùn)算單元電路的結(jié)構(gòu)與工作原理算術(shù)邏輯運(yùn)算單元電路的主要控制信號(hào)算術(shù)邏輯單元電路中用到的控制信號(hào)主要有T4、S0、S1、S2、S3、Cn、M、LDDR1、LDDR2、SW-B、ALU-B。在實(shí)際應(yīng)用中,只需將“W/RUNIT”的T4接至“STATEUNIT”的微動(dòng)開(kāi)關(guān)KK2的輸出端,按動(dòng)微動(dòng)開(kāi)關(guān),即可獲得實(shí)驗(yàn)所需的單脈沖,其中Cn、SW-B、ALU-B為低電平有效,它們是分別控制運(yùn)算器進(jìn)位、數(shù)據(jù)開(kāi)關(guān)至數(shù)據(jù)總線的三態(tài)門(mén)以及運(yùn)算器輸出至數(shù)據(jù)總線三態(tài)門(mén)的控制信號(hào)。第十九頁(yè),共一百一十三頁(yè),編輯于2023年,星期二1.3實(shí)驗(yàn)內(nèi)容3)利用上述ALU電路實(shí)現(xiàn)算術(shù)與邏輯運(yùn)算利用上述算術(shù)邏輯運(yùn)算單元電路完成多個(gè)算術(shù)與邏輯運(yùn)算操作,并將實(shí)驗(yàn)結(jié)果與正確結(jié)果進(jìn)行比較。74LS181型ALU在正邏輯下的功能如下表所示:第二十頁(yè),共一百一十三頁(yè),編輯于2023年,星期二工作方式輸入選擇S3S2S1S0正邏輯輸入與輸出邏輯運(yùn)算(M=H)算術(shù)運(yùn)算(M=L)(/Cn=H,無(wú)進(jìn)位)(/Cn=L,有進(jìn)位)LLLLA非AA加1LLLH(A+B)非A+B(A+B)加1LLHL(A非)BA+(B非)(A+(B非))加1LLHH0減10LHLL(AB)非A加A(B非)A加A(B非)加1LHLHB非(A+B)加A(B非)(A+B)加A(B非)加1LHHLA異或BA減B減1A減BLHHHA(B非)(A(B非))減1A(B非)HLLL(A非)+BA加ABA加AB加1HLLH(A異或B)非A加BA加B加1HLHLB(A+(B非))加AB(A+(B非))加AB加1HLHHABAB減1ABHHLL1AA加A加1HHLHA+(B非)(A+B)加A(A+B)加A加1HHHLA+B(A+(B非))加A(A+(B非))加A加1HHHHAA減1A第二十一頁(yè),共一百一十三頁(yè),編輯于2023年,星期二1.4實(shí)驗(yàn)步驟先用實(shí)驗(yàn)仿真軟件模擬硬件實(shí)驗(yàn)的過(guò)程,熟悉實(shí)驗(yàn)的操作步驟,并可以將得到的實(shí)驗(yàn)數(shù)據(jù)用于驗(yàn)證硬件實(shí)驗(yàn)的結(jié)果。在正式開(kāi)始硬件實(shí)驗(yàn)之前,先熟悉實(shí)驗(yàn)裝置各部分結(jié)構(gòu)和功能;練習(xí)正確的插拔線方法。第二十二頁(yè),共一百一十三頁(yè),編輯于2023年,星期二1.4實(shí)驗(yàn)步驟1)按要求打開(kāi)實(shí)驗(yàn)裝置,把上述原理圖中用到的單元電路及控制信號(hào)與實(shí)驗(yàn)裝置上各單元電路和相關(guān)信號(hào)控制開(kāi)關(guān)等實(shí)物相對(duì)照,熟悉應(yīng)用和操作對(duì)象。本次實(shí)驗(yàn)用到的所有數(shù)據(jù)開(kāi)關(guān)和控制開(kāi)關(guān)如果不在初始狀態(tài),則要先將其打到初始狀態(tài)(即斷開(kāi)狀態(tài)),在本裝置中,開(kāi)關(guān)斷開(kāi),其輸出均為高電平狀態(tài)(開(kāi)關(guān)指示燈滅)第二十三頁(yè),共一百一十三頁(yè),編輯于2023年,星期二1.4實(shí)驗(yàn)步驟2)按圖2-2連接線路,連接完畢后要進(jìn)行仔細(xì)檢查,確保無(wú)誤后方可通電實(shí)驗(yàn)

第二十四頁(yè),共一百一十三頁(yè),編輯于2023年,星期二1.4實(shí)驗(yàn)步驟3)用二進(jìn)制數(shù)據(jù)開(kāi)關(guān)向DR1(寄存器A)和DR2(寄存器B)置運(yùn)算數(shù)據(jù),步驟如下:再次查看開(kāi)關(guān)單元ALU-B開(kāi)關(guān)是否處于初始狀態(tài)不在初始狀態(tài)則打到初始狀態(tài)(ALU-B=1),關(guān)閉ALU輸出的三態(tài)門(mén)。接通WS-B開(kāi)關(guān),打開(kāi)數(shù)據(jù)輸入單元的三態(tài)門(mén)。分別向寄存器A和寄存器B置數(shù),操作流程如圖關(guān)閉數(shù)據(jù)輸入三態(tài)門(mén),即斷開(kāi)SW-B開(kāi)關(guān)(SW-B=1)

第二十五頁(yè),共一百一十三頁(yè),編輯于2023年,星期二1.4實(shí)驗(yàn)步驟3)用二進(jìn)制數(shù)據(jù)開(kāi)關(guān)向DR1(寄存器A)和DR2(寄存器B)置運(yùn)算數(shù)據(jù)撥動(dòng)輸入單元的數(shù)據(jù)開(kāi)關(guān)生成八位二進(jìn)制數(shù)據(jù)X向寄存器A置數(shù)據(jù):LDDR1=1LDDR2=0T4=撥動(dòng)輸入單元的數(shù)據(jù)開(kāi)關(guān)生成八位二進(jìn)制數(shù)據(jù)Y向寄存器B置數(shù)據(jù):

LDDR1=0LDDR2=1T4=第二十六頁(yè),共一百一十三頁(yè),編輯于2023年,星期二1.4實(shí)驗(yàn)步驟4)驗(yàn)證寄存器A(DR1)和寄存器B(DR2)中數(shù)據(jù)的正確性,步驟如下:關(guān)閉數(shù)據(jù)輸入三態(tài)門(mén)(SW-B=1),打開(kāi)ALU輸出三態(tài)門(mén)(ALU-B=0)。當(dāng)S3~S0、M初始狀態(tài)時(shí),控制開(kāi)關(guān)均在斷開(kāi)狀態(tài),ALU輸出寄存器A的數(shù)據(jù),對(duì)照總線指示燈數(shù)據(jù)。只接通S2和S0控制開(kāi)關(guān)(S2=0,S0=0),其余開(kāi)關(guān)狀態(tài)不變,ALU輸出寄存器B的數(shù)據(jù),對(duì)照總線指示燈數(shù)據(jù)。如果兩個(gè)寄存器顯示數(shù)據(jù)與置入數(shù)據(jù)一致,表明實(shí)驗(yàn)裝置所用到單元電路、實(shí)驗(yàn)接線和操作都正確。否則必有實(shí)驗(yàn)環(huán)節(jié)發(fā)生錯(cuò)誤,必須認(rèn)真檢查分析,找出出錯(cuò)原因。后續(xù)實(shí)驗(yàn)必須在上述結(jié)果正確無(wú)誤的基礎(chǔ)方可進(jìn)行。第二十七頁(yè),共一百一十三頁(yè),編輯于2023年,星期二1.4實(shí)驗(yàn)步驟5)驗(yàn)證74LS181的算術(shù)運(yùn)算和邏輯運(yùn)算功能(正邏輯),在給定寄存器A(DR1=X)和寄存器B(DR2=Y)數(shù)據(jù)的情況下,改變運(yùn)算器的功能,觀察運(yùn)算器的輸出,把相關(guān)功能下的輸出結(jié)果填入表2-2,并做出理論分析和比較,驗(yàn)證實(shí)驗(yàn)的正確性。第二十八頁(yè),共一百一十三頁(yè),編輯于2023年,星期二表2-2運(yùn)算器實(shí)驗(yàn)數(shù)據(jù)記錄表第二十九頁(yè),共一百一十三頁(yè),編輯于2023年,星期二1.5實(shí)驗(yàn)注意事項(xiàng)接線時(shí)將電源斷開(kāi),全部接好線后檢查無(wú)誤,方可打開(kāi)電源,嚴(yán)禁帶電插拔連線。相互沖突的總線控制信號(hào)要確保互斥出現(xiàn),否則會(huì)出現(xiàn)總線沖突,導(dǎo)致燒毀器件。認(rèn)真對(duì)待,反復(fù)檢查、確認(rèn);不太確定的事情不能草率行事,經(jīng)指導(dǎo)老師確認(rèn)后再做。插拔排線時(shí)動(dòng)作要慢,對(duì)準(zhǔn)板子上的排針垂直插入和拔出,以免損傷排針和排線。當(dāng)使用排線連接多個(gè)數(shù)據(jù)線和控制信號(hào)時(shí),注意對(duì)應(yīng)關(guān)系,排線中各條線的顏色可以幫助辨認(rèn)。實(shí)驗(yàn)前要認(rèn)真閱讀《實(shí)驗(yàn)指導(dǎo)書(shū)》,聯(lián)系課堂所學(xué)知識(shí),搞清楚電路結(jié)構(gòu)及工作原理,達(dá)到理論與實(shí)踐相結(jié)合的目的。每組實(shí)驗(yàn)數(shù)據(jù)不能完全相同,做好實(shí)驗(yàn)數(shù)據(jù)記錄。根據(jù)實(shí)驗(yàn)內(nèi)容寫(xiě)出實(shí)驗(yàn)報(bào)告。第三十頁(yè),共一百一十三頁(yè),編輯于2023年,星期二實(shí)驗(yàn)一運(yùn)算器實(shí)驗(yàn)

實(shí)驗(yàn)二進(jìn)位運(yùn)算和移位運(yùn)算實(shí)驗(yàn)實(shí)驗(yàn)四數(shù)據(jù)通路實(shí)驗(yàn)實(shí)驗(yàn)三存儲(chǔ)器實(shí)驗(yàn)實(shí)驗(yàn)?zāi)夸浀谌豁?yè),共一百一十三頁(yè),編輯于2023年,星期二實(shí)驗(yàn)二進(jìn)位運(yùn)算和移位運(yùn)算實(shí)驗(yàn)

實(shí)驗(yàn)?zāi)康暮鸵髮?shí)驗(yàn)設(shè)備實(shí)驗(yàn)內(nèi)容實(shí)驗(yàn)步驟

實(shí)驗(yàn)注意事項(xiàng)第三十二頁(yè),共一百一十三頁(yè),編輯于2023年,星期二2.1實(shí)驗(yàn)?zāi)康暮鸵篁?yàn)證待進(jìn)位控制的算術(shù)運(yùn)算功能發(fā)生器的進(jìn)位功能驗(yàn)證移位控制的組合功能

第三十三頁(yè),共一百一十三頁(yè),編輯于2023年,星期二2.2實(shí)驗(yàn)設(shè)備JYS-4計(jì)算機(jī)組成原理教學(xué)實(shí)驗(yàn)裝置排線、導(dǎo)線若干第三十四頁(yè),共一百一十三頁(yè),編輯于2023年,星期二2.3實(shí)驗(yàn)內(nèi)容1)進(jìn)位計(jì)算實(shí)驗(yàn)原理進(jìn)位控制單元電路是在算術(shù)邏輯運(yùn)算單元基礎(chǔ)上增加進(jìn)位控制部分形成的單元電路,其作用是驗(yàn)證運(yùn)算器在進(jìn)行運(yùn)算的過(guò)程中是否產(chǎn)生進(jìn)位,并將結(jié)果用指示燈顯示出來(lái)進(jìn)位控制單元電路結(jié)構(gòu)原理如圖3-1,進(jìn)位控制單元電路以算術(shù)邏輯運(yùn)算單元電路為基礎(chǔ),具有算術(shù)邏輯運(yùn)算單元所有控制信號(hào),為控制進(jìn)位鎖存器,增加了AR控制信號(hào),當(dāng)該信號(hào)處于低電平,同時(shí)發(fā)送T4信號(hào),ALU進(jìn)位被鎖存在鎖存器中

進(jìn)位控制單元電路的數(shù)據(jù)通路

第三十五頁(yè),共一百一十三頁(yè),編輯于2023年,星期二圖3-1進(jìn)位控制單元電路結(jié)構(gòu)原理圖第三十六頁(yè),共一百一十三頁(yè),編輯于2023年,星期二2.3實(shí)驗(yàn)內(nèi)容2)移位運(yùn)算實(shí)驗(yàn)原理移位運(yùn)算單元電路是運(yùn)算器單元電路中負(fù)責(zé)完成二進(jìn)制數(shù)的邏輯左移、邏輯右移、算術(shù)左移、算術(shù)右移的器件及其移動(dòng)控制操作電路來(lái)實(shí)現(xiàn)的

移位運(yùn)算結(jié)構(gòu)如圖3-3,使用一片74LS299作為移位發(fā)生器,其八位輸入/輸出端以排針?lè)绞胶涂偩€單元電路連接。299-B信號(hào)控制使能端,T4時(shí)序?yàn)闀r(shí)鐘脈沖,實(shí)驗(yàn)時(shí)將讀寫(xiě)單元的T4接至狀態(tài)單元的KK2脈沖發(fā)生器,由S0S1M信號(hào)控制功能狀態(tài),其列表3-1通過(guò)控制信號(hào),改變單元功能。每使用一次T4信號(hào),產(chǎn)生一次移位運(yùn)算

第三十七頁(yè),共一百一十三頁(yè),編輯于2023年,星期二表3-1移位控制功能表第三十八頁(yè),共一百一十三頁(yè),編輯于2023年,星期二圖3-3移位運(yùn)算結(jié)構(gòu)圖第三十九頁(yè),共一百一十三頁(yè),編輯于2023年,星期二2.4實(shí)驗(yàn)步驟1)進(jìn)位計(jì)算實(shí)驗(yàn)步驟1按圖3-2連接試驗(yàn)線路,連接完畢仔細(xì)檢查無(wú)誤后通電第四十頁(yè),共一百一十三頁(yè),編輯于2023年,星期二2.4實(shí)驗(yàn)步驟1)進(jìn)位計(jì)算實(shí)驗(yàn)步驟2從輸入單元電路向寄存器A和寄存器B置數(shù),步驟為:①關(guān)閉ALU輸出三態(tài)門(mén),開(kāi)啟輸入三態(tài)門(mén),②要向DR1寄存器中輸入01010101,先將數(shù)據(jù)開(kāi)關(guān)置01010101,將LDDR1置1,LDDR2置0,按KK2發(fā)送T4脈沖,數(shù)據(jù)01010101便送入寄存器A中。再將數(shù)據(jù)開(kāi)關(guān)置10101010,將LDDR1置0,LDDR2置1,按KK2發(fā)送T4脈沖,數(shù)據(jù)10101010便送入寄存器B中。第四十一頁(yè),共一百一十三頁(yè),編輯于2023年,星期二2.4實(shí)驗(yàn)步驟1)進(jìn)位計(jì)算實(shí)驗(yàn)步驟3進(jìn)位標(biāo)志位清零,清零的方法是,將S3S2S1S0M的狀態(tài)置為00000,AR狀態(tài)置為0(要注意的是清零時(shí)DR1寄存器中的數(shù)不能等于FF)。然后按動(dòng)微動(dòng)開(kāi)關(guān)KK2。。第四十二頁(yè),共一百一十三頁(yè),編輯于2023年,星期二2.4實(shí)驗(yàn)步驟1)進(jìn)位計(jì)算實(shí)驗(yàn)步驟4驗(yàn)證帶進(jìn)位運(yùn)算及進(jìn)位鎖存功能,實(shí)驗(yàn)使用加法運(yùn)算來(lái)驗(yàn)證。首先向DR1、DR2置數(shù),并將進(jìn)位標(biāo)志位清零。然后使ALU-B=0,S3S2S1S0M狀態(tài)為10010,此時(shí)將數(shù)據(jù)顯示燈上顯示的數(shù)據(jù)位DR1、DR2加當(dāng)前進(jìn)位標(biāo)志位,這個(gè)結(jié)果是否產(chǎn)生進(jìn)位,則要按動(dòng)微動(dòng)開(kāi)關(guān)KK2,若進(jìn)位標(biāo)志燈亮,表示無(wú)進(jìn)位;反之,則表示有進(jìn)位。第四十三頁(yè),共一百一十三頁(yè),編輯于2023年,星期二2.4實(shí)驗(yàn)步驟2)移位運(yùn)算實(shí)驗(yàn)步驟1按圖3-4連接線路,將“W/RUNIT”的T4接至“STATEUNIT”的KK2。ALUUNIT的AUJ1連接至BUSUNIT再接到INPUTUNIT的SWJ3,299-BS1S0M接到SWITCHUNIT相應(yīng)接口。連接完畢檢查無(wú)誤后接通電源

。第四十四頁(yè),共一百一十三頁(yè),編輯于2023年,星期二2.4實(shí)驗(yàn)步驟2)移位運(yùn)算實(shí)驗(yàn)步驟2置數(shù):將數(shù)據(jù)輸入單元的數(shù)據(jù)開(kāi)關(guān)置成01101011狀態(tài),然后將SW-B置為0。要置數(shù)時(shí)將S0置成1,S1置成1,此時(shí)74LS299處于裝數(shù)狀態(tài),按動(dòng)微動(dòng)開(kāi)關(guān)KK2發(fā)送T4信號(hào),數(shù)據(jù)裝入后再將SW-B置為1。移位操作:參照表3-1改變S0S1M299-B狀態(tài),按動(dòng)微動(dòng)開(kāi)關(guān)KK2,就可觀察移位結(jié)果。在實(shí)驗(yàn)過(guò)程中,每按動(dòng)一次KK2鍵,顯示燈顯示數(shù)據(jù)就移動(dòng)一位。第四十五頁(yè),共一百一十三頁(yè),編輯于2023年,星期二2.5實(shí)驗(yàn)注意事項(xiàng)1)全部接好線并檢查無(wú)誤方可通電,嚴(yán)禁帶電插拔排線;2)每組實(shí)驗(yàn)數(shù)據(jù)不能完全相同,做好實(shí)驗(yàn)數(shù)據(jù)記錄;3)根據(jù)實(shí)驗(yàn)內(nèi)容寫(xiě)出實(shí)驗(yàn)報(bào)告;第四十六頁(yè),共一百一十三頁(yè),編輯于2023年,星期二實(shí)驗(yàn)一運(yùn)算器實(shí)驗(yàn)

實(shí)驗(yàn)二進(jìn)位運(yùn)算和移位運(yùn)算實(shí)驗(yàn)實(shí)驗(yàn)四數(shù)據(jù)通路實(shí)驗(yàn)實(shí)驗(yàn)三存儲(chǔ)器實(shí)驗(yàn)實(shí)驗(yàn)?zāi)夸浀谒氖唔?yè),共一百一十三頁(yè),編輯于2023年,星期二實(shí)驗(yàn)三存儲(chǔ)器實(shí)驗(yàn)

實(shí)驗(yàn)?zāi)康暮鸵髮?shí)驗(yàn)設(shè)備實(shí)驗(yàn)內(nèi)容實(shí)驗(yàn)步驟

實(shí)驗(yàn)注意事項(xiàng)第四十八頁(yè),共一百一十三頁(yè),編輯于2023年,星期二3.1實(shí)驗(yàn)?zāi)康暮鸵笳莆侦o態(tài)隨機(jī)存儲(chǔ)器(6116)的工作原理及數(shù)據(jù)的讀寫(xiě)方法

第四十九頁(yè),共一百一十三頁(yè),編輯于2023年,星期二3.2實(shí)驗(yàn)設(shè)備JYS-4計(jì)算機(jī)組成原理教學(xué)實(shí)驗(yàn)裝置排線、導(dǎo)線若干示波器一臺(tái)第五十頁(yè),共一百一十三頁(yè),編輯于2023年,星期二3.3實(shí)驗(yàn)內(nèi)容1)存儲(chǔ)器實(shí)驗(yàn)原理實(shí)驗(yàn)所用的靜態(tài)存儲(chǔ)器原理如圖4-1,存儲(chǔ)器由一片6116(2K*8)構(gòu)成,其數(shù)據(jù)線接至數(shù)據(jù)總線,地址線由地址鎖存器給出。數(shù)據(jù)開(kāi)關(guān)經(jīng)三態(tài)門(mén)連至數(shù)據(jù)總線,分時(shí)給出地址和數(shù)據(jù)。因地址寄存器為8位,接入6116的地址A7-A0,而高三位A8-A10接地,所以其實(shí)際容量為256字節(jié)。6116有3個(gè)控制線:CE(片選),OE(讀控制),WE(寫(xiě)控制)第五十一頁(yè),共一百一十三頁(yè),編輯于2023年,星期二3.3實(shí)驗(yàn)內(nèi)容1)存儲(chǔ)器實(shí)驗(yàn)原理當(dāng)片選信號(hào)有效(CE=0)時(shí),OE=0時(shí)進(jìn)行讀操作(本電路中,OE接地,只要片選信號(hào)CE=0有效,不在寫(xiě)狀態(tài)就在讀狀態(tài)),CE=0,WE=1時(shí)進(jìn)行寫(xiě)操作,其寫(xiě)時(shí)間與T3脈沖寬度一致。實(shí)驗(yàn)時(shí)將T3脈沖接至?xí)r序電路模塊的TS3插孔中,其脈寬可調(diào),其他電平控制信號(hào)由“SWITCHUNIT”單元的二進(jìn)制開(kāi)關(guān)模擬,其中SW-B為低電平有效,LDAR為高效電平有效第五十二頁(yè),共一百一十三頁(yè),編輯于2023年,星期二3.3實(shí)驗(yàn)內(nèi)容2)實(shí)驗(yàn)原理圖第五十三頁(yè),共一百一十三頁(yè),編輯于2023年,星期二3.4實(shí)驗(yàn)步驟1)形成時(shí)鐘脈信號(hào)T3,操作步驟如下①接通電源,接入示波器方波信號(hào)源輸出孔H24,調(diào)節(jié)電位器W1,使H24端輸出實(shí)驗(yàn)期望頻率方波。②將時(shí)序電路模塊的Φ和H23排針相連。③將時(shí)序電路模塊“STOP”開(kāi)關(guān)置為“RUN”狀態(tài),“STEP”開(kāi)關(guān)置為“EXEC”狀態(tài),按動(dòng)微動(dòng)開(kāi)關(guān)START,T3輸出連續(xù)方波信號(hào),調(diào)節(jié)電位器W,用示波器觀察,使T3輸出實(shí)驗(yàn)要求脈沖信號(hào)。當(dāng)“STOP”開(kāi)關(guān)置為“RUN”狀態(tài),“STEP”開(kāi)關(guān)置為“STEP”狀態(tài)時(shí),每按動(dòng)一次微動(dòng)開(kāi)關(guān)START,則T3輸出一個(gè)單脈沖,其脈沖寬度與連續(xù)方式相同。④關(guān)閉電源。第五十四頁(yè),共一百一十三頁(yè),編輯于2023年,星期二3.4實(shí)驗(yàn)步驟2)按圖4-2連接實(shí)驗(yàn)線路,檢查無(wú)誤后接通電源

第五十五頁(yè),共一百一十三頁(yè),編輯于2023年,星期二3.4實(shí)驗(yàn)步驟3)存儲(chǔ)器的00,01,02,03,04地址單元中分別寫(xiě)入數(shù)據(jù)11,12,13,14,15,操作步驟如下

第五十六頁(yè),共一百一十三頁(yè),編輯于2023年,星期二3.4實(shí)驗(yàn)步驟4)將存儲(chǔ)器的00,01,02,03,04地址單元數(shù)據(jù)讀出,觀察上述各單元內(nèi)容是否與前面寫(xiě)入一致,操作步驟如下(以從0號(hào)單元讀出數(shù)據(jù)為例)第五十七頁(yè),共一百一十三頁(yè),編輯于2023年,星期二3.5實(shí)驗(yàn)注意事項(xiàng)1)全部接好線并檢查無(wú)誤方可通電,嚴(yán)禁帶電插拔排線;2)每組實(shí)驗(yàn)數(shù)據(jù)不能完全相同,做好實(shí)驗(yàn)數(shù)據(jù)記錄;3)根據(jù)實(shí)驗(yàn)內(nèi)容寫(xiě)出實(shí)驗(yàn)報(bào)告;第五十八頁(yè),共一百一十三頁(yè),編輯于2023年,星期二實(shí)驗(yàn)一運(yùn)算器實(shí)驗(yàn)

實(shí)驗(yàn)二進(jìn)位運(yùn)算和移位運(yùn)算實(shí)驗(yàn)實(shí)驗(yàn)四數(shù)據(jù)通路實(shí)驗(yàn)實(shí)驗(yàn)三存儲(chǔ)器實(shí)驗(yàn)實(shí)驗(yàn)?zāi)夸浀谖迨彭?yè),共一百一十三頁(yè),編輯于2023年,星期二實(shí)驗(yàn)四數(shù)據(jù)通路實(shí)驗(yàn)

實(shí)驗(yàn)?zāi)康暮鸵髮?shí)驗(yàn)設(shè)備實(shí)驗(yàn)內(nèi)容實(shí)驗(yàn)步驟

實(shí)驗(yàn)注意事項(xiàng)第六十頁(yè),共一百一十三頁(yè),編輯于2023年,星期二4.1實(shí)驗(yàn)?zāi)康暮鸵笤贘YS-4實(shí)驗(yàn)裝置上模擬計(jì)算機(jī)最基本的工作過(guò)程,打通“鍵盤(pán)”、“CPU”、“RAM”之間的數(shù)據(jù)通路。掌握計(jì)算機(jī)的數(shù)據(jù)通路組成及其工作原理第六十一頁(yè),共一百一十三頁(yè),編輯于2023年,星期二4.2實(shí)驗(yàn)設(shè)備JYS-4計(jì)算機(jī)組成原理教學(xué)實(shí)驗(yàn)裝置排線、導(dǎo)線若干第六十二頁(yè),共一百一十三頁(yè),編輯于2023年,星期二4.3實(shí)驗(yàn)內(nèi)容1)數(shù)據(jù)通路實(shí)驗(yàn)原理該實(shí)驗(yàn)實(shí)際是上述實(shí)驗(yàn)一與實(shí)驗(yàn)三的綜合實(shí)驗(yàn)。把JYS-4實(shí)驗(yàn)裝置上的INPUTDEVICE、SWITCHUNIT、SIGNALUNIT、STATEUNIT、ALUUNIT、MAINMEM、ADDRESSUNIT、BUSUNIT、W/RUNIT、OUTPUTDEVICE等單元電路連接起來(lái),構(gòu)成一個(gè)最基本的計(jì)算機(jī)系統(tǒng),以模擬計(jì)算機(jī)的實(shí)際工作過(guò)程。電路構(gòu)成也是運(yùn)算器實(shí)驗(yàn)和存儲(chǔ)器實(shí)驗(yàn)電路的綜合,如圖5-1第六十三頁(yè),共一百一十三頁(yè),編輯于2023年,星期二圖5-1數(shù)據(jù)通路實(shí)驗(yàn)原理圖第六十四頁(yè),共一百一十三頁(yè),編輯于2023年,星期二4.3實(shí)驗(yàn)內(nèi)容1)數(shù)據(jù)通路實(shí)驗(yàn)原理在本裝置開(kāi)關(guān)單元里,LDDR1與CE、LDDR2與WE分別共用一個(gè)控制開(kāi)關(guān),在前面實(shí)驗(yàn)中,這兩個(gè)開(kāi)關(guān)不矛盾。但本實(shí)驗(yàn)里,這四個(gè)控制信號(hào)都用到,因而產(chǎn)生矛盾,為解決這個(gè)問(wèn)題,規(guī)定在本實(shí)驗(yàn)接線時(shí),保持圖2-2接線不變,將圖4-2中存儲(chǔ)器單元的片選信號(hào)(CE)輸入端連接至開(kāi)關(guān)單元里的AR控制端,同時(shí)將寫(xiě)存儲(chǔ)器控制信號(hào)(WE)輸入端連接至開(kāi)關(guān)單元里的SWA輸。如有其他信號(hào)沖突,可用類(lèi)似辦法解決第六十五頁(yè),共一百一十三頁(yè),編輯于2023年,星期二4.4實(shí)驗(yàn)步驟1)接線前的準(zhǔn)備、實(shí)驗(yàn)電路的接線程序參見(jiàn)實(shí)驗(yàn)一和實(shí)驗(yàn)三。

2)從輸入單元電路輸入四個(gè)八位二進(jìn)制數(shù)據(jù),并存入存儲(chǔ)器單元(四個(gè)數(shù)據(jù)及四個(gè)存放數(shù)據(jù)的內(nèi)存單元地址由各組定義,但要求不能與其它組定義的數(shù)據(jù)相同)

第六十六頁(yè),共一百一十三頁(yè),編輯于2023年,星期二4.4實(shí)驗(yàn)步驟3)從內(nèi)存單元取出兩組八位二進(jìn)制分別送入DR1和DR2,并進(jìn)行四種不同的算術(shù)運(yùn)算,并把不同算術(shù)運(yùn)算的結(jié)果保存在存儲(chǔ)器單元里4)再?gòu)膬?nèi)存單元里取出剩下的兩個(gè)原始數(shù)據(jù)分別送入DR1和DR2,并進(jìn)行四種不同的邏輯運(yùn)算,并把不同邏輯運(yùn)算結(jié)果存入存儲(chǔ)器單元里5)分別從存儲(chǔ)器單元讀出算術(shù)運(yùn)算和邏輯運(yùn)算的結(jié)果,并進(jìn)行理論分析其正確性

第六十七頁(yè),共一百一十三頁(yè),編輯于2023年,星期二4.5實(shí)驗(yàn)注意事項(xiàng)1)全部接好線并檢查無(wú)誤方可通電,嚴(yán)禁帶電插拔排線;2)每組實(shí)驗(yàn)數(shù)據(jù)不能完全相同,做好實(shí)驗(yàn)數(shù)據(jù)記錄;3)根據(jù)實(shí)驗(yàn)內(nèi)容寫(xiě)出實(shí)驗(yàn)報(bào)告;第六十八頁(yè),共一百一十三頁(yè),編輯于2023年,星期二實(shí)驗(yàn)一運(yùn)算器實(shí)驗(yàn)

實(shí)驗(yàn)二進(jìn)位運(yùn)算和移位運(yùn)算實(shí)驗(yàn)實(shí)驗(yàn)四數(shù)據(jù)通路實(shí)驗(yàn)實(shí)驗(yàn)三存儲(chǔ)器實(shí)驗(yàn)實(shí)驗(yàn)?zāi)夸泴?shí)驗(yàn)五微程序控制實(shí)驗(yàn)實(shí)驗(yàn)六基本模型機(jī)的設(shè)計(jì)與實(shí)現(xiàn)第六十九頁(yè),共一百一十三頁(yè),編輯于2023年,星期二實(shí)驗(yàn)五微控制器實(shí)驗(yàn)

實(shí)驗(yàn)?zāi)康暮鸵髮?shí)驗(yàn)設(shè)備實(shí)驗(yàn)內(nèi)容實(shí)驗(yàn)步驟

實(shí)驗(yàn)注意事項(xiàng)第七十頁(yè),共一百一十三頁(yè),編輯于2023年,星期二5.1實(shí)驗(yàn)?zāi)康暮鸵笳莆諘r(shí)序產(chǎn)生器的組成原理掌握微程序控制器的組成原理掌握微程序的編制、寫(xiě)入,觀察微程序的運(yùn)行第七十一頁(yè),共一百一十三頁(yè),編輯于2023年,星期二5.2實(shí)驗(yàn)設(shè)備JYS-4計(jì)算機(jī)組成原理教學(xué)實(shí)驗(yàn)裝置排線、導(dǎo)線若干第七十二頁(yè),共一百一十三頁(yè),編輯于2023年,星期二5.3實(shí)驗(yàn)內(nèi)容1實(shí)驗(yàn)原理(1)微程序控制器工作原理微程序控制器是根據(jù)微地址寄存器指向的微代碼地址,把預(yù)先存儲(chǔ)在微程序控制存儲(chǔ)器里面的微代碼,在時(shí)序電路的控制下,通過(guò)指令譯碼器解釋成各單元電路的控制信號(hào),以協(xié)調(diào)整機(jī)工作的單元電路第七十三頁(yè),共一百一十三頁(yè),編輯于2023年,星期二5.3實(shí)驗(yàn)內(nèi)容時(shí)序電路實(shí)驗(yàn)所用的時(shí)序電路原理如圖5-1所示,可產(chǎn)生4個(gè)等間隔的時(shí)序信號(hào)TS1-TS4,Φ為時(shí)鐘信號(hào),由實(shí)驗(yàn)臺(tái)左上方的方波信號(hào)源提供,可產(chǎn)生頻率及脈沖寬度可調(diào)的方波信號(hào)

。當(dāng)STEP開(kāi)關(guān)為EXEC時(shí),按下啟動(dòng)鍵,時(shí)序信號(hào)TS1-TS4將周而復(fù)始地發(fā)送出去。當(dāng)STEP為STEP時(shí),按下啟動(dòng)鍵,機(jī)器便處于單步運(yùn)行狀態(tài),此時(shí)只發(fā)送一個(gè)CPU周期的時(shí)序信號(hào)就停機(jī)。利用單步方式,每次只讀一條微指令,可觀察微指令的代碼與當(dāng)前微指令的執(zhí)行結(jié)果第七十四頁(yè),共一百一十三頁(yè),編輯于2023年,星期二5.3實(shí)驗(yàn)內(nèi)容(2)微程序控制器單元電路

微程序控制電路的組成如圖5-2,其中控制存儲(chǔ)器采用3片2816的E2PROM,具有斷電保護(hù)功能,微指令寄存器18位,用兩片8D觸發(fā)器(273)和一片4D(175)觸發(fā)器組成。微地址寄存器6位,用三片正沿觸發(fā)的雙D觸發(fā)器(74)組成

。實(shí)驗(yàn)中設(shè)有一個(gè)編程開(kāi)關(guān)(位于實(shí)驗(yàn)板右上方),它具有三種狀態(tài):PROM(編程)、READ(校驗(yàn))、RUN(運(yùn)行)

?!熬幊虪顟B(tài)”時(shí),可根據(jù)微地址和微指令格式將微指令二進(jìn)制代碼寫(xiě)入到控制存儲(chǔ)器2816E2PROM中。“校驗(yàn)狀態(tài)”時(shí),可對(duì)寫(xiě)入控制存儲(chǔ)器中的二進(jìn)制代碼進(jìn)行驗(yàn)證?!斑\(yùn)行狀態(tài)”時(shí),給出微程序的入口微地址,可根據(jù)微程序流程圖自動(dòng)執(zhí)行微程序。第七十五頁(yè),共一百一十三頁(yè),編輯于2023年,星期二第七十六頁(yè),共一百一十三頁(yè),編輯于2023年,星期二5.3實(shí)驗(yàn)內(nèi)容(3)微指令格式,微指令長(zhǎng)共24位,其控制位順序表5-1第七十七頁(yè),共一百一十三頁(yè),編輯于2023年,星期二5.3實(shí)驗(yàn)內(nèi)容(4)指令譯碼電路的原理uA5-uA0為6位后續(xù)的微地址,A,B,C三個(gè)譯碼字段,分別由三個(gè)控制位譯碼出多位。C字段中的P(1)-P(4)是四個(gè)測(cè)試字位。其功能是根據(jù)機(jī)器指令及相應(yīng)微代碼進(jìn)行譯碼,使微程序轉(zhuǎn)入相應(yīng)的微地址入口,從而實(shí)現(xiàn)微程序中的順序、分支、循環(huán)進(jìn)行,其原理如圖5-3所示,圖中I7-I2為指令寄存器的第7-2位輸出,SE5-SE1為微程序控制器單元微地址鎖存器的強(qiáng)置端輸出。第七十八頁(yè),共一百一十三頁(yè),編輯于2023年,星期二圖5-3C字段譯碼原理圖第七十九頁(yè),共一百一十三頁(yè),編輯于2023年,星期二5.4實(shí)驗(yàn)步驟1)編制微程序,圖5-5為幾條機(jī)器指令對(duì)應(yīng)的參考微程序流程圖,將全部微程序按微指令格式變成二進(jìn)制代碼

第八十頁(yè),共一百一十三頁(yè),編輯于2023年,星期二第八十一頁(yè),共一百一十三頁(yè),編輯于2023年,星期二圖5-5微程序流程圖第八十二頁(yè),共一百一十三頁(yè),編輯于2023年,星期二5.4實(shí)驗(yàn)步驟2)根據(jù)上述微程序流程圖,將其微命令轉(zhuǎn)換成相應(yīng)的二進(jìn)制代碼如下表5-2。其內(nèi)容實(shí)質(zhì)即為可運(yùn)行的微程序第八十三頁(yè),共一百一十三頁(yè),編輯于2023年,星期二第八十四頁(yè),共一百一十三頁(yè),編輯于2023年,星期二5.4實(shí)驗(yàn)步驟3)實(shí)驗(yàn)線路連接

第八十五頁(yè),共一百一十三頁(yè),編輯于2023年,星期二5.4實(shí)驗(yàn)步驟4)微程序的寫(xiě)入與運(yùn)行

編程①將編程開(kāi)關(guān)置為PROM(編程)狀態(tài)。②將實(shí)驗(yàn)板“STEP”開(kāi)關(guān)置“STEP”狀態(tài),“STOP”開(kāi)關(guān)置“RUN”狀態(tài)③用二進(jìn)制模擬開(kāi)關(guān)置微地址MA5-MA0。④在MK24-MK1開(kāi)關(guān)上置微代碼,24位開(kāi)關(guān)對(duì)應(yīng)24位顯示燈,開(kāi)關(guān)置為“0”時(shí)燈亮,開(kāi)關(guān)置為“1”時(shí)燈滅。⑤啟動(dòng)時(shí)序電路(按動(dòng)自動(dòng)按鈕“START”),即將微代碼寫(xiě)入到2816E2PROM的相應(yīng)地址對(duì)應(yīng)的單元中。⑥重復(fù)③-⑤步驟,將表6-2的微代碼寫(xiě)入2816E2PROM第八十六頁(yè),共一百一十三頁(yè),編輯于2023年,星期二5.4實(shí)驗(yàn)步驟4)微程序的寫(xiě)入與運(yùn)行

校驗(yàn)①將編程開(kāi)關(guān)設(shè)置為READ(校驗(yàn))狀態(tài)。②將實(shí)驗(yàn)板“STEP”開(kāi)關(guān)置“STEP”狀態(tài),“STOP”開(kāi)關(guān)置“RUN”狀態(tài)③用二進(jìn)制開(kāi)關(guān)置好微地址MA5-MA0。④按動(dòng)“START”鍵,啟動(dòng)時(shí)序電路,讀出微代碼,觀察顯示燈MD24-MD1的狀態(tài)(燈亮為“0”,滅為“1”),檢查讀出的微代碼是否與寫(xiě)入的相同。若不同,則將開(kāi)關(guān)置于PROM編程狀態(tài),重新執(zhí)行“編程”即可⑤重復(fù)③、④步驟,直至把需要校驗(yàn)的內(nèi)容都校驗(yàn)完成為止第八十七頁(yè),共一百一十三頁(yè),編輯于2023年,星期二5.4實(shí)驗(yàn)步驟4)微程序的寫(xiě)入與運(yùn)行

單步運(yùn)行①將編程開(kāi)關(guān)置于“RUN(運(yùn)行)”狀態(tài)。②實(shí)驗(yàn)板的“STEP”及“STOP”開(kāi)關(guān)保持原狀。③按動(dòng)CLR開(kāi)關(guān)使CLR信號(hào)1→0→1。微地址寄存器MA5-MA0清零,從而明確本機(jī)的運(yùn)行入口微地址為000000(二進(jìn)制)。④按動(dòng)“START”鍵,啟動(dòng)時(shí)序電路,讀出一條微指令后停機(jī),此時(shí)實(shí)驗(yàn)臺(tái)上的微地址顯示燈和微命令顯示燈將顯示所讀出的一條指令。⑤將“MICRO-CONTROLLER”單元的SE6-SE1接至“SWITCHUNIT”中的UA5-UA0對(duì)應(yīng)二進(jìn)制開(kāi)關(guān)上,通過(guò)強(qiáng)置端SE1-SE6人為設(shè)置分支地址。人為設(shè)置分支地址時(shí),是將某個(gè)或幾個(gè)二進(jìn)制開(kāi)關(guān)置為“0”,相應(yīng)的微地址位即被強(qiáng)置為“1”,從而改變下一條微指令的地址。第八十八頁(yè),共一百一十三頁(yè),編輯于2023年,星期二5.4實(shí)驗(yàn)步驟4)微程序的寫(xiě)入與運(yùn)行

連續(xù)運(yùn)行①將編程開(kāi)關(guān)置為“RUN(運(yùn)行)”狀態(tài)。②將實(shí)驗(yàn)板的單步開(kāi)關(guān)“STEP”置為“EXEC”狀態(tài)。③使CLR從1→0→1,此時(shí)微地址寄存器清“0”,從而給出取指微指令的入口地址為000000(二進(jìn)制)。④啟動(dòng)時(shí)序電路,則可連續(xù)讀出微指令第八十九頁(yè),共一百一十三頁(yè),編輯于2023年,星期二5.5實(shí)驗(yàn)注意事項(xiàng)1)全部接好線并檢查無(wú)誤方可通電,嚴(yán)禁帶電插拔排線;2)做實(shí)驗(yàn)前必需掌握微程序控制器的工作原理,了解實(shí)驗(yàn)的原理和步驟。3)實(shí)驗(yàn)前檢查微地址燈和微命令燈是否顯示正常。4)編程模式時(shí)應(yīng)將CLR信號(hào)置于1狀態(tài)。5)在將微程序?qū)懭肟卮婧?,需要逐一讀出驗(yàn)證,出現(xiàn)一條微指令錯(cuò)誤都可能會(huì)影響實(shí)驗(yàn)結(jié)果。6)如果出現(xiàn)實(shí)驗(yàn)結(jié)果不符合的情況,可以回去驗(yàn)證微程序是否正確。7)實(shí)驗(yàn)過(guò)程中注意StateUnit單元的Step和Stop開(kāi)關(guān)的狀態(tài)第九十頁(yè),共一百一十三頁(yè),編輯于2023年,星期二實(shí)驗(yàn)一運(yùn)算器實(shí)驗(yàn)

實(shí)驗(yàn)二進(jìn)位運(yùn)算和移位運(yùn)算實(shí)驗(yàn)實(shí)驗(yàn)四數(shù)據(jù)通路實(shí)驗(yàn)實(shí)驗(yàn)三存儲(chǔ)器實(shí)驗(yàn)實(shí)驗(yàn)?zāi)夸泴?shí)驗(yàn)五微程序控制實(shí)驗(yàn)實(shí)驗(yàn)六基本模型機(jī)的設(shè)計(jì)與實(shí)現(xiàn)第九十一頁(yè),共一百一十三頁(yè),編輯于2023年,星期二實(shí)驗(yàn)六基本模型機(jī)的設(shè)計(jì)與實(shí)現(xiàn)

實(shí)驗(yàn)?zāi)康暮鸵髮?shí)驗(yàn)設(shè)備實(shí)驗(yàn)內(nèi)容實(shí)驗(yàn)步驟

實(shí)驗(yàn)注意事項(xiàng)第九十二頁(yè),共一百一十三頁(yè),編輯于2023年,星期二6.1實(shí)驗(yàn)?zāi)康暮鸵笤谡莆認(rèn)YS-4計(jì)算機(jī)組成原理教學(xué)實(shí)驗(yàn)裝置各單元電路的構(gòu)成及其工作原理的基礎(chǔ)上,進(jìn)一步將其組成系統(tǒng),構(gòu)造出一臺(tái)基本模型計(jì)算機(jī)。為本模型機(jī)定義五條機(jī)器指令,寫(xiě)出相應(yīng)的微程序,并上機(jī)調(diào)試,以掌握整機(jī)的概念。第九十三頁(yè),共一百一十三頁(yè),編輯于2023年,星期二6.2實(shí)驗(yàn)設(shè)備JYS-4計(jì)算機(jī)組成原理教學(xué)實(shí)驗(yàn)裝置排線、導(dǎo)線若干第九十四頁(yè),共一百一十三頁(yè),編輯于2023年,星期二6.3實(shí)驗(yàn)內(nèi)容1實(shí)驗(yàn)原理(1)基本模型機(jī)的設(shè)計(jì)與組成

基本模型機(jī)是利用JYS-4計(jì)算機(jī)組成原理實(shí)驗(yàn)裝置中的ALU單元電路、存儲(chǔ)器單元電路、微程序控制器等單元電路組織起來(lái)的一個(gè)具有基本計(jì)算機(jī)功能的有機(jī)系統(tǒng)以前各單元電路的實(shí)驗(yàn)過(guò)程中,相關(guān)的控制信號(hào)都是人為模擬產(chǎn)生的,而基本模型機(jī)的工作則是在微程序控制下自動(dòng)產(chǎn)生各單元電路的控制信號(hào)

第九十五頁(yè),共一百一十三頁(yè),編輯于2023年,星期二6.3實(shí)驗(yàn)內(nèi)容設(shè)計(jì)基本模型機(jī)機(jī)器指令

本設(shè)計(jì)采用五條機(jī)器指令,分別為:IN(輸入)、OUT(輸出)、ADD(二進(jìn)制加)、STA(存數(shù))、JMP(無(wú)條件轉(zhuǎn)移)來(lái)模擬模型機(jī)的工作過(guò)程。表7-1是對(duì)這幾條基本指令的具體說(shuō)明助記符機(jī)器指令碼說(shuō)明IN00000000輸入單元開(kāi)關(guān)狀態(tài)->R0ADDaddr00010000χχχχχχχχR0+〔addr〕->R0STAaddr00100000χχχχχχχχR0->〔addr〕OUTaddr00110000χχχχχχχχ〔addr〕->BUSJMPaddr01000000χχχχχχχχaddr->PC第九十六頁(yè),共一百一十三頁(yè),編輯于2023年,星期二6.3實(shí)驗(yàn)內(nèi)容設(shè)計(jì)基本模型機(jī)的控制臺(tái)命令

要向存儲(chǔ)器寫(xiě)程序和數(shù)據(jù),以及從存儲(chǔ)器讀程序和數(shù)據(jù)還是執(zhí)行程序,都需要設(shè)置控制臺(tái)命令??刂婆_(tái)命令的這些功能也需要用微程序設(shè)計(jì)來(lái)實(shí)現(xiàn)。本實(shí)驗(yàn)設(shè)計(jì)三個(gè)控制臺(tái)操作命令及其微程序。而對(duì)控制臺(tái)命令的選擇是通過(guò)兩個(gè)開(kāi)關(guān)SWB、SWA兩位的狀態(tài)來(lái)決定的說(shuō)明SWBSWA

控制臺(tái)指令00讀內(nèi)存(KRD)01寫(xiě)內(nèi)存(KWE)11啟動(dòng)程序(RP)第九十七頁(yè),共一百一十三頁(yè),編輯于2023年,星期二6.3實(shí)驗(yàn)內(nèi)容基本模型機(jī)的數(shù)據(jù)通路框圖基本模型機(jī)的數(shù)據(jù)通路如圖6-1

。在圖6-1中,絕大部分單元電路在前面的實(shí)驗(yàn)里都用到過(guò),這里說(shuō)明一下指令寄存器(IR)在本實(shí)驗(yàn)中的工作原理

指令寄存器(IR)用于保存當(dāng)前正在執(zhí)行的一條指令。當(dāng)執(zhí)行一條指令時(shí),首先把指令從內(nèi)存取到緩沖寄存器中,然后再傳送至指令寄存器。指令劃分為操作碼和地址碼兩部分,由二進(jìn)制數(shù)碼組成,為了執(zhí)行任何給定的指令,必須對(duì)操作碼進(jìn)行測(cè)試[P(1)],通過(guò)節(jié)拍脈沖T4的控制識(shí)別所要求的操作。指令譯碼器根據(jù)指令中操作碼譯碼強(qiáng)置微控器單元的微地址,使下一條微指令指向相應(yīng)的微程序首地址第九十八頁(yè),共一百一十三頁(yè),編輯于2023年,星期二第九十九頁(yè),共一百一十三頁(yè),編輯于2023年,星期二6.3實(shí)驗(yàn)內(nèi)容(2)微程序設(shè)計(jì)微指令的長(zhǎng)度有24位,其控制位的順序如表5-1。A、B、C三個(gè)代碼段的格式及其定義分別如表5-2至表5-4。第19位到第24位用來(lái)控制ALU的行為,S3、S2、S1、S0的組合用來(lái)決定ALU的運(yùn)算行式,M用來(lái)選擇是進(jìn)行邏輯運(yùn)算還是算術(shù)運(yùn)算,Cn在算術(shù)運(yùn)算時(shí)有效。第16、17位經(jīng)過(guò)在擴(kuò)展總線單元電路的譯碼輸出信號(hào)以及第18位是用來(lái)控制存儲(chǔ)器讀寫(xiě)以及輸入和輸出單元電路協(xié)調(diào)工作的第一百頁(yè),共一百一十三頁(yè),編輯于2023年,星期二6.3實(shí)驗(yàn)內(nèi)容(2)微程序設(shè)計(jì)C字段的功能是根據(jù)機(jī)器指令及相應(yīng)的微代碼進(jìn)行譯碼,使微程序轉(zhuǎn)入相應(yīng)的微地址入口,從而實(shí)現(xiàn)微程序的順序、分支、循環(huán)運(yùn)行B字段中的RS-B、RO-B、RI-B分別為源寄存器選通信號(hào)、目的寄存器選通信號(hào)以及變址寄存器選通信號(hào),其功能是根據(jù)機(jī)器指令來(lái)進(jìn)行三個(gè)寄存器RO、R1、R2選通譯碼(詳見(jiàn)實(shí)驗(yàn)六圖6-4)。A字段是DR1,DR2,IR,AR,PC的控制信號(hào),LDRi是產(chǎn)生上述寄存器信號(hào)的譯碼器使能控制位。后面幾位是后續(xù)微地址,指明了在其后要執(zhí)行的指令的地址第一百零一頁(yè),共一百一十三頁(yè),編輯于2023年,星期二6.3實(shí)驗(yàn)內(nèi)容微指令格式,微指令長(zhǎng)共24位,其控制位順序表5-1第一百零二頁(yè),共一百一十三頁(yè),編輯于2023年,星期二第一百零三頁(yè),共一百一十三頁(yè),編輯于2023年,星期二圖5-5微程序流程圖第一百零四頁(yè),共一百一十三頁(yè),編輯于2023年,星期二二進(jìn)制微代碼表第一百零五頁(yè),共一百一十三頁(yè),編輯于2023年,星期二第一百零六頁(yè),共一百一十三頁(yè),編輯于2023年,星期二6.4實(shí)驗(yàn)步驟4)微程序的寫(xiě)入與運(yùn)行

編程①將編程開(kāi)關(guān)置為PROM(編程)狀態(tài)。②將實(shí)驗(yàn)板“STEP”開(kāi)關(guān)置“STEP”狀態(tài),“STOP”開(kāi)關(guān)置“RUN”狀態(tài)③用二進(jìn)制模擬開(kāi)關(guān)置微地址MA5-MA0。④在MK24-MK1開(kāi)關(guān)上置微代碼,24位開(kāi)關(guān)對(duì)應(yīng)24位顯示燈,開(kāi)關(guān)置為“0”時(shí)燈亮,開(kāi)關(guān)置為“1”時(shí)燈滅。⑤啟動(dòng)時(shí)序電路(按動(dòng)自動(dòng)按鈕“START”),即將微代碼寫(xiě)入到2816E2PROM的相應(yīng)地址對(duì)應(yīng)的單元中。⑥重復(fù)③-⑤步驟,將表6-2的微代碼寫(xiě)入2816E2PROM第一百零七頁(yè),共一百一十三頁(yè),編輯于2023年,星期二6.4實(shí)驗(yàn)步驟1)設(shè)計(jì)本實(shí)驗(yàn)的機(jī)器指令語(yǔ)言程序,如左表

地址內(nèi)容助記符說(shuō)明0000000000000000IN“INPUTDEVI

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論