基于單片機的光照度自動調(diào)節(jié)系統(tǒng)設(shè)計_第1頁
基于單片機的光照度自動調(diào)節(jié)系統(tǒng)設(shè)計_第2頁
基于單片機的光照度自動調(diào)節(jié)系統(tǒng)設(shè)計_第3頁
基于單片機的光照度自動調(diào)節(jié)系統(tǒng)設(shè)計_第4頁
基于單片機的光照度自動調(diào)節(jié)系統(tǒng)設(shè)計_第5頁
已閱讀5頁,還剩22頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

摘要隨著互聯(lián)網(wǎng)技術(shù)帶動下的物聯(lián)網(wǎng)的開展,智能家居逐漸開始迅猛開展。照明作為家庭用電中的重要局部,智能照明也擁有廣闊的開展前景。而且隨著人們對能源節(jié)約的越來越深入人心的認識,設(shè)計一種可以隨著光照強度的變化來調(diào)節(jié)自身亮度的照明設(shè)備顯得很有必要。針對這一問題,本設(shè)計采用光照傳感器模塊采集環(huán)境光照強度,然后利用STC89C51單片機對燈光亮度進行控制,完成了光照強度的實時檢測與顯示,同時可對燈光進行相應(yīng)的亮度調(diào)節(jié),完成了各功能模塊的硬件電路設(shè)計和軟件程序編寫,最后用Proteus進行了模擬仿真。仿真結(jié)果說明該設(shè)計實現(xiàn)了光照強度的實時檢測與顯示并能對燈光亮度進行適當(dāng)調(diào)節(jié)。關(guān)鍵詞:智能照明;光照強度檢測;STC89C51;燈光自動控制;AbstractWiththedevelopmentofInternettechnology,thesmarthomeisbeginningtodeveloprapidly.Asanimportantpartofthehousehold,intelligentilluminationalsohasahugedevelopmentprospect.Andastheunderstandingofenergysavingisdeeplyrootedinpeople’smind,designingakindoflightwhichcanchangeitslightintensitywiththesurroundingisverynecessary.Inordertosolvethisproblem,thisdesignusesthelightsensormoduletocollectenvironmentallightintensityandthenuseSTC89C51microcontrollertocontrolthelightbrightness.Completedthereal-timedetectionanddisplayforthelightintensity,andtoadjustthebrightnessofthelightingaccordinglyasystemofthedesignofthehardwaresystemandsoftwareprogram.AfterthesimulationwiththeProteus.Thesimulationresultsshowthatthedesignrealizesthereal-timedetectionanddisplayofthelightintensityandcanadjustthebrightnessofthelight.Keywords:Intelligentlighting;Lightintensitytest;STC89C51;Automaticlightingcontrol目錄TOC\o"1-3"\h\u12988摘要I24462目錄III224071緒論 -1-94011.1課題研究的背景及意義 -1-232991.2國外研究現(xiàn)狀 -2-169221.4本設(shè)計主要內(nèi)容 -3-103482方案分析 -4-267262.1光照傳感器的方案分析 -4-160262.2調(diào)光方式的方案分析 -4-278443硬件設(shè)計 -6-139233.1硬件選型及電路設(shè)計 -6-271083.1.1單片機-6-143903.1.2晶振電路-7-12613.1.3復(fù)位電路-8-248843.1.4光照強度傳感器-8-22483.1.5顯示電路-10-45453.1.6調(diào)光電路-11-63713.2整體電路設(shè)計 -12-311784軟件設(shè)計 -13-79084.1系統(tǒng)軟件功能-13-61664.2程序調(diào)試 -14-294814.3仿真分析 -16-19813致謝-23-32261參考文獻-24-26911附錄:程序代碼-26-1緒論1.1課題研究的背景及意義電燈是人類最偉大的創(chuàng)造之一。自從有了電燈,人類就沒有了對黑夜的恐懼。自從有了電燈,人類就增添了光明的信心。自從愛迪生創(chuàng)造第一盞炭絲白熾燈以來,電燈光源經(jīng)歷了由白熾燈到低氣壓氣體放電燈,到HID高強度氣體放電燈,再到LED發(fā)光二極管的演變。電燈光源演變的動力根本在于更高的亮度需求,更低的能耗要求等等。隨著科學(xué)水平的開展和社會的進步,人們對能源的需求量愈來愈大[1]。而受限于目前大比例使用的化石能源的不可取代性。能源的節(jié)約與合理利用成為社會科學(xué)中迫切需求的研究課題。在能量消耗之中,照明所消耗的電量在每一個國家的用電總量中都占比擬大的比例。據(jù)相關(guān)部門統(tǒng)計,照明應(yīng)用消耗的能源大約占全球消耗總能源的19%[2]。其中我國每年照明消耗的電量占全國總耗電量的12%;隨著我國經(jīng)濟社會的快速開展,照明用電量還在以大約每年5%的速度增長。在很多使用照明設(shè)備場合,由于大多數(shù)照明設(shè)備燈光不可調(diào)節(jié),所以產(chǎn)生許多不必要的能量浪費和由此產(chǎn)生的經(jīng)濟損失。因此設(shè)計一種可以隨著外界光照強度變化來調(diào)節(jié)照明設(shè)備的照明強弱的系統(tǒng)具有重大深遠的經(jīng)濟效益和社會意義。在眾多照明設(shè)備中,LED燈比其他比方熒光燈,節(jié)能燈、高壓,鈉燈等更容易實現(xiàn)而且能耗也更低[3]?,F(xiàn)階段社會公民的節(jié)能意識的淡薄,造成了電能的極度浪費,一般存在以下幾種浪費現(xiàn)象:①由于我國大多數(shù)人的節(jié)能意識還很淡薄,對能源的使用很隨意。而且由于在陽光照射條件人眼對照明設(shè)備發(fā)出的相對微弱的光不很敏感,在自然光照的條件下,人們往往不太容易覺察到燈光的存在,因此,設(shè)計一種可以進行光照強度自動調(diào)節(jié)的系統(tǒng)可以在很大程度上方便我們的生活;②樓道里安裝的燈在不需要時往往并不引人注意,而且也由于其使用情況的隨機性,也并太容易對其進行很好的控制[4]。如果樓道里安裝的燈能在有人或者無人的條件下自動調(diào)節(jié)燈光光照強度,將促使我們在生活的點點滴滴上注意節(jié)約能源;從全國范圍來看,這些也同樣是對電力資源的一種極大的浪費,同時,這種有形和無形的浪費,給社會越來越帶來了沉重的負擔(dān),不利于社會的可持續(xù)開展[5]??偟膩碚f,一個好的光照度自動調(diào)節(jié)系統(tǒng)可以改善工作環(huán)境,提高工作效率;一個好的光照自動調(diào)節(jié)系統(tǒng)也可以為我們提供一個良好的節(jié)能效果,并且可以延長燈具使用壽命;一個好的光照度自動控制調(diào)節(jié)系統(tǒng)可以提高管理水平;一個好的光照度自動調(diào)節(jié)系統(tǒng)還可以產(chǎn)生較好的投資收益效果[6]。因此,設(shè)計一個高效的光照度自動調(diào)節(jié)系統(tǒng)是有意義而且有需要的。1.2國外研究現(xiàn)狀目前在全球范圍內(nèi),丹麥一直走在能源的利用與節(jié)約前列。其在能源利用與節(jié)約方面的成功經(jīng)驗給我們提供了一個很好的借鑒。他們不斷地建設(shè)或經(jīng)自主研發(fā)或由國外引進的先進節(jié)能照明及供熱系統(tǒng)。政府及其注重家庭耗電和公共照明的節(jié)約,并且規(guī)定了許多各類住房節(jié)能目標(biāo)的硬性指標(biāo)。該國統(tǒng)計數(shù)據(jù)顯示,所有居民入住未裝有各種節(jié)能裝置的房屋時,他們最終將會花費比擁有節(jié)能方案的同類房屋高出8%的費用[7]。該國的節(jié)能工程經(jīng)驗教訓(xùn)在已經(jīng)歐盟國家中廣為流傳。國外研究并成功開發(fā)的智能照明及控制系統(tǒng),按照網(wǎng)絡(luò)拓撲可以為集中式和分布式。集中式主要為星狀結(jié)構(gòu),該結(jié)構(gòu)以中央節(jié)點為控制核心,把其他節(jié)點全部連接起來。其中所有的照明控制器、信息采集器和控制面板等都在中央節(jié)的控制器上,由中央控制器向周圍的執(zhí)行單元發(fā)送指令從而來調(diào)節(jié)設(shè)備的發(fā)光情況;與之相對應(yīng)的另一種系統(tǒng)結(jié)構(gòu)為分布式,該系統(tǒng)以中央節(jié)點來統(tǒng)籌全局,組建起主干網(wǎng)絡(luò)和假設(shè)干子網(wǎng)[8]。各個設(shè)備都有獨立的信息處理及邏輯控制單元,顯示和控制局部直接連在子網(wǎng),從而有更加高效的調(diào)節(jié)速率。1.3國內(nèi)研究現(xiàn)狀在我國目前還不太成熟的市場中,盡管智能照明及其控制設(shè)備開展前景十分廣闊,但受限于當(dāng)前市場環(huán)境條件和由于未能普及所造成的價格較高等特點,智能照明及其控制設(shè)備目前在我國仍未得到較大面積的推廣和使用。而且目前社會仍缺少智能照明及其光照控制產(chǎn)品的消費意識,商家和廠家也未對其進行及時的宣傳,因此我國當(dāng)前的智能照明仍具有較大開展前景[9]。然而,智能照明設(shè)備對于國內(nèi)目前的消費水平仍顯得有些奢侈,智能照明設(shè)備的價格十分高昂,相比其節(jié)約的能源,民眾還是難以負擔(dān)智能照明設(shè)備相比傳統(tǒng)照明設(shè)備產(chǎn)生的額外費用,所以智能照明產(chǎn)品及控制設(shè)備在當(dāng)今社會上還難以真正實現(xiàn)大規(guī)模普及。國內(nèi)智能照明行業(yè)已逐步興起,海爾、瑞郎等都已投入大量精力于智能照明和相關(guān)設(shè)備的研發(fā)[10]。隨著互聯(lián)網(wǎng)時代的到來,以及現(xiàn)代通信技術(shù)等一系列先進技術(shù)的開展,人們越來越重視數(shù)字化家居。智能照明及設(shè)備作為智能家居的重要局部,能在很大程度上促進家居智能化的開展,隨著智能家居的熱潮,智能照明市場也漸漸有興旺開展的勢頭。鑒于當(dāng)前開展勢頭,智能照明設(shè)備和技術(shù)將很快走進人們身邊[11]。1.4本設(shè)計主要內(nèi)容本設(shè)計以外界環(huán)境光照強度的實時檢測及燈光光照強度的控制為研究方向,研究了各種目前可行的數(shù)據(jù)采集方案和燈光光照強度的調(diào)節(jié)方式,經(jīng)分析比擬最后確定了適宜的方案。設(shè)計了一個以STC89C51單片機為核心的控制電路,用羅姆半導(dǎo)體公司生產(chǎn)的BH1750FVI型光照強度傳感器進行外界環(huán)境光照強度信息的獲取,通過總線將光照強度數(shù)據(jù)信息送給單片機,經(jīng)過單片機處理后,通過LCD1602液晶進行當(dāng)前光照強度的顯示,并根據(jù)采集到的光照強度數(shù)據(jù)進行判斷,調(diào)節(jié)通電發(fā)光二極管的數(shù)量,從而實現(xiàn)自動光照調(diào)節(jié)。本文主要內(nèi)容如下:第1章主要介紹了光照自動調(diào)節(jié)設(shè)備及技術(shù)的研究背景,其在國內(nèi)和國外的研究開展?fàn)顩r等,為本設(shè)計提供了相關(guān)理論依據(jù)和應(yīng)用前景分析。第2章主要介紹了系統(tǒng)擬采用的光照強度信息獲取方案的選擇及調(diào)光方案的選擇,詳細分析比擬了各種方案的優(yōu)缺點,并最終確定了本設(shè)計所采用的方案。第3章主要介紹了本系統(tǒng)所采用的硬件電路,完成了從各元器件的選那么到各模塊原理圖的繪制,以及整個系統(tǒng)的搭建。第4章主要介紹了本系統(tǒng)控制程序的編寫編譯和仿真,并對所得結(jié)果進行了詳細的分析。2方案分析2.1光照傳感器的方案分析信息是控制的前端,只有獲取目標(biāo)信息后才能對系統(tǒng)進一步進行控制。為了控制燈光亮度,本系統(tǒng)需要采集外界光照強度信息,獲取該信息后下才能繼續(xù)之后的信息處理及燈光控制工作,故而需研究與討論光照強度信息的獲取方式。方案一:光敏電阻器光敏電阻器主要由半導(dǎo)體光電晶體組成。當(dāng)有陽光照射到光電晶體外表時,該晶體內(nèi)的載流子數(shù)量將會隨光照強度的增加而隨之增長,與此同時電導(dǎo)率會隨之增強[11]。光敏電阻器本質(zhì)上就是利用半導(dǎo)體的光電效應(yīng)而制成的一種阻值會隨入射光的變化而變化的可變電阻器。當(dāng)入射光的光照強度大時,阻值將下減,當(dāng)入射光的光照強度小時,阻值會變大。將其與一且固定阻值的電阻串聯(lián)接入電路,就可以根據(jù)其分壓值的變化來測量光照強度的對應(yīng)改變。但其輸出的電壓信號是模擬信號,還需繼續(xù)增加一模數(shù)轉(zhuǎn)換,并需要對硬件進行不斷調(diào)試,而且還需要對不同的光照強度進行標(biāo)定,增加了本錢和難度,且可靠性較低。方案二:光照強度傳感器成熟的商業(yè)光照強度采集模塊的內(nèi)部集成了傳感器、調(diào)理電路、放大電路和模數(shù)轉(zhuǎn)換。擁有精度高,可靠性好,實時性優(yōu),性價比高等諸多優(yōu)點[12]。目前已經(jīng)商業(yè)化的光照采集模塊有Intersil公司生產(chǎn)的ISL29033,羅姆半導(dǎo)體生產(chǎn)的BH1750FVI,OnElectronics公司生產(chǎn)的On9658等等。這種類型傳感器具有片內(nèi)高集成度,很強的可靠性,和高性價比的特點,能夠?qū)崟r監(jiān)測光強并將數(shù)據(jù)傳遞給控制芯片。依據(jù)以上比擬,在能夠到達目的的條件下,方案二中的BH1750FVI是更加適宜的方案。故本設(shè)計中采用羅姆半導(dǎo)體生產(chǎn)的BH1750FVI作為光照強度采集模塊。2.2調(diào)光方式的方案分析目前光照調(diào)光方案有模擬調(diào)光、可控硅調(diào)光和控制點亮LED數(shù)量調(diào)光三種方案。下文會以這三種方案來展開分析:方案一:模擬調(diào)光模擬調(diào)光的原理是通過改變電流的強弱,來實現(xiàn)改變燈的亮度[13]。普遍采取的實現(xiàn)方法有兩種:其一是調(diào)節(jié)與燈串聯(lián)的限流電阻Rs的大小,從而實現(xiàn)調(diào)節(jié)電流的目的;其二是采取控制電流調(diào)節(jié)芯片的模擬調(diào)光功能引腳來控制輸出電流的大小,進而調(diào)節(jié)燈光亮度。模擬調(diào)光具有一個很大的缺點:驅(qū)動器的能量利用效率會隨輸出電流的減小大幅度下降,而這必將使整體耗能加大,使能源利用的效率下降,不符合節(jié)能趨勢。再有由于燈光的電流和色溫之間存在著相關(guān)關(guān)系,因而燈光色溫會隨著電流的變化而產(chǎn)生變化[14]。因此在許多對燈光顏色有要求的條件下,不適宜運用模擬調(diào)光。方案二:可控硅調(diào)光三端雙向可控硅是一個電壓驅(qū)動開關(guān),其工作原理是通過與其并聯(lián)的滑動變阻器調(diào)節(jié)其兩端電壓從而控制其兩端電壓,進而控制其開關(guān)動作。通過迅速的開關(guān)動作來調(diào)節(jié)燈光的總體亮度[15]。因此采用可控硅會使燈產(chǎn)生100Hz的頻閃,對人眼健康不利,要想去掉頻閃那么需要增加負載調(diào)節(jié)電路,而這必將使驅(qū)動器的能量利用效率下降,也與節(jié)能的出發(fā)點不合。方案三:通過控制導(dǎo)通的LED數(shù)量控制亮度每個LED亮度根本相同,因此可以在需要高光強的情況下增加導(dǎo)通LED數(shù)目,在不需要高光強的情況下減少導(dǎo)通LED數(shù)目。LED具有亮度高,價格低,控制簡單的優(yōu)點。根據(jù)以上分析可以得出,最簡單易行且可靠的調(diào)光方案是通過控制導(dǎo)通LED的數(shù)量調(diào)光。3硬件設(shè)計3.1硬件選型及電路設(shè)計本設(shè)計采用BH1750FVI來獲取當(dāng)前外界環(huán)境的光強度信息,得到的信息送給STC89C51單片機處理。處理后的數(shù)據(jù)會通過LCD1602進行實時顯示,此即為外界的光照強度。同時單片機將該光照強度值與初設(shè)數(shù)值來比照,根據(jù)比照結(jié)果來調(diào)節(jié)導(dǎo)通LED燈的數(shù)量,從而實現(xiàn)燈光的控制。硬件電路框圖如圖3.1所示。圖3.1系統(tǒng)硬件電路框圖3.1.1單片機本設(shè)計采用STC89C51單片機作為控制芯片。它有4KB可重復(fù)編程的片內(nèi)Flash,512Byte的RAM,4組8位的I/O接口和三個定時器[16],滿足本設(shè)計需求。并且還有功耗低、價格廉價的優(yōu)勢。該單片機的控制主要通過其4組I/O接口實現(xiàn)。其4組I/O接口特點描述如表1。表1STC89C51I/O口描述P0口八位雙向I/O口可被作為低8位地址/數(shù)據(jù)復(fù)用端口P1口八位雙向I/O口主要作為通用I/O使用P2口八位雙向I/O口可被作為高8位地址端口來訪問外部數(shù)據(jù)存儲器P3口八位雙向I/O口除作為通用I/O口使用外,主要是使用其第二功能圖3.2是該單片機的引腳圖。圖3.2單片機引腳圖3.1.2晶振電路晶振雖然不復(fù)雜但對單片機運行是不能缺少的,由它提供使單片機正常工作的時鐘信號。因為石英晶振擁有極好的頻率穩(wěn)定特性以及很強的抵抗外界干擾能力,因此它是十分理想的基準(zhǔn)頻率產(chǎn)生器件。如圖3.3是單片機的晶振電路。其中單片機的內(nèi)部電路和片外器件一起作用而構(gòu)成一個簡單的時鐘發(fā)生電路,單片機內(nèi)部振蕩器的頻率與外部晶振的頻率十分相似,通常情況下在1.2MHz~24MHz之間選那么,為了方便計算,常常選擇12MHz。反應(yīng)電容C1和C2的作用是對電路進行充電和放電,它們的電容值一般在20pF~100pF之間選那么,本電路二者均選用30pF的電容[17]。圖3.3晶振電路硬件原理圖3.1.3復(fù)位電路復(fù)位電路也是單片機不復(fù)雜卻很重要的模塊之一,其功能是對單片機進行復(fù)位的初始化操作。它的工作條件是在單片機的RST引腳加上穩(wěn)定的大于2個機器周期的高電平信號。因此按下復(fù)位按鍵以后,仍然需要經(jīng)過一定時間的信號保持才能撤去該信號,否那么無法進行有效復(fù)位操作。為了有效復(fù)位,防止因在按鍵過程的抖動影響復(fù)位操作,通常采用RC復(fù)位電路來防止該情況[18]。其原理圖如圖3.4所示。圖3.4復(fù)位電路硬件原理圖3.1.4光照強度傳感器光照強度傳感器的主要作用是感知與獲取當(dāng)前外界環(huán)境的光照強度,采集到當(dāng)前環(huán)境的光照強度值后,通過總線與單片機通信,單片機將以該光照強度信息作為下一步動作的依據(jù)。該傳感器的原理是其中的光敏二極管PD在不同光照強度的照射時,產(chǎn)生的電流不一樣,運算放大電器AMP能將電流的改變轉(zhuǎn)化為容易測量的電壓的波動,接下來由片內(nèi)模數(shù)轉(zhuǎn)換模塊將電壓信號轉(zhuǎn)變?yōu)閿?shù)字信號[19]。原理框圖如3.5所示。由于在Protues元器件庫中并沒有BH1750FVI這一型號傳感器。故在模擬仿真中,使用光敏電阻和固定電阻串聯(lián)分壓,再加一A/D轉(zhuǎn)換芯片來模擬光照強度傳感器。PDAMPOSCGNDPDAMPOSCGNDADCVCCDVISCLSDALogic+I2CInterfaceBH1750FVI轉(zhuǎn)換完成的光照強度數(shù)字信號依據(jù)通信協(xié)議與單片機通信??偩€時序圖如圖3.6。圖3.6總線時序圖總線由PHILIPS公司設(shè)計,多用于主控制器和從器件間的主從通信,適合在小數(shù)據(jù)量場合使用,傳輸距離比擬短,并且在任意時刻可以有多個從機,卻只能有一個主機。市場上已有許多種類非常豐富的兼容芯片。已成為工業(yè)標(biāo)準(zhǔn)而廣泛應(yīng)用。通信協(xié)議硬件局部由兩條線路構(gòu)成,其一是數(shù)據(jù)線SDA,其二是時鐘線SCL。連到總線線路上的所有器件能通過唯一的7位二進制硬件地址和其它器件之間實現(xiàn)通信,主/從機角色可實時配置,主機即可當(dāng)成數(shù)據(jù)發(fā)送端也可成為數(shù)據(jù)接收端。該協(xié)議要求在高電平時鐘周期內(nèi),SDA上的數(shù)據(jù)一定要維持穩(wěn)定不可發(fā)生跳變,否那么會出現(xiàn)誤判。SDA上信號的變化僅可以發(fā)生在SCL線為低電平的時候。通信的開始條件規(guī)定為當(dāng)SCL為高電平的時候,SDA線上由高電平跳變到低電平,通信結(jié)束條件規(guī)定為當(dāng)SCL為高電平的時候,SDA線上由低電平跳變到高電平。應(yīng)該注意,無論是起始信號還是終止信號,都是由主機發(fā)出的。只要具有總線硬件接口的器件連到總線,就能檢測到該信號??偩€在起始信號之后,就為繁忙狀態(tài),停止條件之后為空閑狀態(tài)。每當(dāng)主機向從機發(fā)送完一字節(jié)的數(shù)據(jù)后,主機需要等待從機給出應(yīng)答信號,以確認從機是否成功收到了該數(shù)據(jù),應(yīng)答信號有固定的標(biāo)準(zhǔn):低電平0表示應(yīng)答,高電平1表示非應(yīng)答??偩€上傳送的信息既能是器件地址信號,也可以是數(shù)據(jù)信號。起始信號后要求由主機發(fā)送一個由7位從機硬件地址加上1位讀寫位構(gòu)成的一字節(jié)信號,信息傳輸都必須由主機發(fā)出終止信號表示本次傳輸完成[20]。STC89C51并沒有單獨的總線接口,因此必須利用軟件模擬時序。以實現(xiàn)總線的數(shù)據(jù)傳輸。模擬具體時間如圖3.7所示。圖3.7模擬時序時間3.1.5顯示電路顯示電路是本系統(tǒng)的輸出端,這里將實時顯示外界的光強照度值。本設(shè)計采用的顯示模塊為LCD1602液晶。LCD液晶屏相對于LED數(shù)碼管,其可讀效果更好,可展示的信息也更豐富。在本設(shè)計中,LCD1602液晶負責(zé)顯示當(dāng)前外界光照強度值。其原理圖如圖3.8所示。圖3.8LCD1602液晶硬件原理圖3.1.6調(diào)光電路調(diào)光電路是本系統(tǒng)中的被控局部。當(dāng)單片機從光照強度傳感器獲取光照強度值,判斷當(dāng)前環(huán)境光照強度在某一光照強度范圍時,點亮對應(yīng)數(shù)量的LED,即可實現(xiàn)對光源強度的控制。原理圖如圖3.9所示。圖3.9調(diào)光電路硬件原理圖3.2整體電路設(shè)計本設(shè)計采用的硬件原理圖均使用AltiumDesigner13繪制。該軟件功能十分強大,內(nèi)部擁有許多電子元器件模型[21],應(yīng)用時只需尋找所需元器件就能對其應(yīng)用調(diào)試。還可對該元器件的每個參數(shù)分別改變,從而迎合用戶所需。依據(jù)前面的整體框架思路,用Altiumdesigner13畫出了整體的原理圖。整體硬件原理圖如圖3.10所示。圖3.10整體硬件原理圖4軟件設(shè)計4.1系統(tǒng)軟件功能本系統(tǒng)軟件運行流程如圖4.1所示。程序進入主函數(shù)后,BH1750FVI傳感器模塊將不停地采集光照強度數(shù)據(jù),STC89C51單片機內(nèi)部程序會將采集到的數(shù)據(jù)傳送至LCD1602液晶實時顯示并與設(shè)定值進行比擬。如果測得數(shù)值大于或等于200,所有的LED都不會被點亮;如果數(shù)值小于200但大于或等于160,LED1被點亮;如果數(shù)值小于160但大于等于125,LED1和LED2被點亮;如果數(shù)值小于125,但大于等于50,LED1,LED2和LED3被點亮;如果數(shù)值小于50,那么所有LED燈均被點亮。圖4.1系統(tǒng)軟件流程圖4.2程序調(diào)試STC89C51單片機可采用C或匯編作為編程語言,因為匯編閱讀起來不方便,可移植性也不好,故而選擇使用C語言編程。本設(shè)計采用KeiluVision4來對控制程序編寫和編譯。如圖4.2,翻開KeiluVision4程序后,首先需要新建一個工程,為了保證良好的識別,該工程名盡量使用英文和數(shù)字,防止出現(xiàn)中文。為了跟硬件很好的配合,要確定所采用的單片機具體種類。由于型號庫里并沒有STC89C51,這里選擇具有相同8051內(nèi)核的Atmel公司生產(chǎn)的AT89C51,確定后就建立了一個工程。圖4.2KeiluVision4確定單片機種類然后新建文件,文件名也最好防止使用中文,以c為后綴名,保存。如圖4.3所示。圖4.3KeiluVision4工程里新建C語言程序最后將C文件添加到左側(cè)剛剛新建的工程里,如圖4.4所示。然后就能里面中寫入C語言控制源程序了。圖4.4KeiluVision4工程里添加C語言程序程序編寫完成后,最好及時保存,已防止出現(xiàn)意外情況喪失,而產(chǎn)生不必要的麻煩。選擇編譯程序,KeiluVision4將對控制源代碼開始糾錯和編譯,并會在BuildOutput對話框中提示出編譯中出現(xiàn)的錯誤和警告結(jié)果。假假設(shè)編寫的代碼中有錯誤,對話框中就會提醒出錯原因和大概地點,點擊報錯的那一段就能找到源代碼中有問題的地方附近。更改源代碼之后,繼續(xù)查錯,到?jīng)]有出現(xiàn)錯誤提示。源程序沒有問題后,點擊‘TargetOptions’按鈕,找到‘Output’,把‘CreateHexFile’的前面勾上,點擊后繼續(xù)編譯,就會在工程路徑產(chǎn)生了以.hex為擴展名的文件。如圖4.5,代碼編譯之后提醒信息錯誤數(shù)為0,警告數(shù)為0。圖4.5程序編譯4.3仿真分析想出了設(shè)計方案,搭建出完整電路圖,調(diào)試了代碼并編譯生成可被單片機識別的文件,還有必要來檢查設(shè)想的實施方案可否實行,代碼有沒有BUG??梢允褂肞roteus軟件來進行模擬仿真。Proteus是一款專業(yè)的電路設(shè)計仿真軟件[22]。此軟件擁有十分豐富的元件庫,如果未找到所需元件也能親自動手設(shè)計,根本能夠滿足本設(shè)計所需。如圖4.6所示,按照完整電路圖,畫出了仿真圖。由于其元件庫中沒有BH1750FVI,所以改用一固定電阻和一光敏電阻串聯(lián)分壓,然后由A/D轉(zhuǎn)換輸出光照強度值,這個一過程與BH1750FVI的工作過程是相似的。圖4.6系統(tǒng)仿真圖要想使其可以開始運行,必須在單片機中添加控制代碼。左鍵點擊單片機芯片中心區(qū)域,在出現(xiàn)對話框中“ProgramFile〞這里點擊,然后找到在前面編譯完成的.hex文件,選擇確定之后就可以把編譯生成的.hex文件下載到51單片機中。如圖4.7所示。圖4.7Proteus中向單片機載入程序加載控制代碼之后,選擇軟件左邊的,之后就能開始仿真測試。測試開展后,LCD1602液晶會展現(xiàn)A/D模塊獲取的信息。在Proteus仿真中,紅色代表高電平,藍色代表低電平。當(dāng)發(fā)光二極管兩邊都是高電平時,它無法導(dǎo)通。當(dāng)二極光的正極為高電平,負極為低電平時,即可導(dǎo)通并發(fā)光,也即當(dāng)發(fā)光二極管正極端為紅色,負極為藍色時,表示該管被點亮??梢园l(fā)現(xiàn),對應(yīng)不同輸入數(shù)值范圍,會有不同數(shù)量的LED被點亮。且隨著輸入數(shù)值變小,即表示光照強度變小,被點亮發(fā)光二極管數(shù)量會隨著增多。當(dāng)光照強度大于或等于200Lx時,四個發(fā)光二極管均是熄滅狀態(tài),仿真結(jié)果如圖4.8所示;當(dāng)光照強度大于或等于160Lx,而小于200Lx時,一個發(fā)光二極管被點亮,仿真結(jié)果如圖4.9所示;當(dāng)光照強度大于或等于125Lx,而小于160Lx時,兩個發(fā)光二極管被點亮,仿真結(jié)果如圖4.10所示;當(dāng)光照強度大于或等于50Lx,而小于125Lx時,三個發(fā)光二極管被點亮,仿真結(jié)果如圖4.11所示;當(dāng)光照強度小于50Lx時,四個發(fā)光二極管均被點亮,仿真結(jié)果如圖4.12所示。圖4.8光照強度大于200Lx,LED均熄滅圖4.9光照強度大于150Lx,小于200Lx,一個LED被點亮圖4.10光照強度大于125Lx,小于150Lx,兩個LED被點亮圖4.11光照強度大于50Lx,小于125Lx,三個LED被點亮圖4.12光照強度小于50Lx,四個LED均被點亮分析Proteus的一系列仿真測試產(chǎn)生的現(xiàn)象可知,該控制系統(tǒng)實現(xiàn)了本設(shè)計預(yù)定目的,滿足了預(yù)設(shè)需求。5總結(jié)和展望5.1總結(jié)本設(shè)計以外界環(huán)境光照強度的及時獲取及燈光強度的調(diào)節(jié)為設(shè)計目標(biāo),比擬了光照強度信息獲取方法和燈光控制方法的可行設(shè)計,在選那么了恰當(dāng)?shù)脑O(shè)計后,最終模擬了一個以STC89C51單片機為中心的燈光自動調(diào)節(jié)系統(tǒng),用BH1750FVI傳感器來完成對外界光照強度信息的獲取,得到的光照強度信息經(jīng)由單片機處理后,通過LCD1602液晶實時顯示出來,并由獲取得到的光照強度信息,調(diào)節(jié)通電發(fā)光二極管的數(shù)量來調(diào)節(jié)燈的亮度,從而實現(xiàn)自動調(diào)光功能。本設(shè)計可以實現(xiàn)如下功能:實時獲取環(huán)境的光照強度信息,通過LCD1602液晶展示光照強度值;當(dāng)外界光照強度增大時,系統(tǒng)自動減少通電發(fā)光二極管數(shù)量來實現(xiàn)減少燈光目的;光照強度減小時,系統(tǒng)自動增加通電發(fā)光二極管數(shù)量來實現(xiàn)增強燈光亮度的目的;環(huán)境光照強度大于設(shè)定值時,燈將全部熄滅;5.2展望然而因為設(shè)計周期較短,本設(shè)計還留有幾個缺憾。在以后可以加以改良。對應(yīng)某一外界環(huán)境光照強度值,輸出燈光強度為多少比擬適宜,這需要實際做許多實驗才能加以確定,由于時間和條件的限制,該實驗未能做成??梢蕴砑訜o線通信模塊,光照強度獲取局部與燈光控制局部之間進行無線通信,進而根據(jù)該數(shù)據(jù)信息控制可控光源。期待在未來能檢驗這些想法,讓該設(shè)計的功能可以進一步完滿。致謝光陰似箭,歲月如梭。仿佛昨天才拉著皮箱風(fēng)塵仆仆地來到學(xué)校,而今天卻已離分別的日子越來越近。細細回想,心中全是依戀與不舍。在即將完成最后一次作業(yè)之時,想對那許許多多在生活、學(xué)習(xí)中給我無私幫助、殷切期望和無限支持的老師同學(xué)和親人朋友們表達最真摯的感謝。經(jīng)過這幾個月的緊張學(xué)習(xí)和工作,本次畢業(yè)設(shè)計已經(jīng)接近尾聲了。在這最后一次作業(yè)中,我受益良多。一開始定題時,我完全摸不著頭腦,不知從何處下手,經(jīng)過和指導(dǎo)老師郭老師的屢次溝通,我逐漸理清了思路,并有了方向。在具體方案設(shè)計的過程中,郭老師也給了我很多建議和意見,讓我對系統(tǒng)的整體結(jié)構(gòu)有了更深地理解。郭老師在治學(xué)上的嚴(yán)謹和在學(xué)識上的淵博給我留下很深的印象。能夠說沒有郭老師的幫助就不可能有本次畢業(yè)設(shè)計的完成。在此我要向郭老師表達我最誠摯的謝意。我的同學(xué)尤其室友在本次畢業(yè)設(shè)計中也給我提出一些非常珍貴的建議,在生活上也給了我許多幫助,讓我更加感受到了集體的溫暖。感謝他們的幫助,祝大家將來都事業(yè)有成。感謝自動控制系的各位領(lǐng)導(dǎo)和老師們,在四年的學(xué)習(xí)生活中,老師們傳授我們知識,教我們?yōu)槿俗鍪?,讓我的大學(xué)生活充實而豐富多彩。祝各位老師們身體健康,萬事如意,桃李滿天下。特別要感謝的還有我的父母,他們一直在背后默默支持我,遇到困難鼓勵我,取得成績表揚我,對我傾注了極大的心血和期望。父母之恩無以為報,只有在校努力學(xué)習(xí),步入社會后努力工作,讓他們過上輕松的生活。祝愿我的父母永遠健康快樂。最后,對辯論委員會的每個老師道一聲您辛苦了!感謝諸位老師對本設(shè)計的審閱及辯論工作。最后祝愿老師們工作順利,心想事成。參考文獻[1]左栓秀,雁北高寒黃土區(qū)杏-草-藥旱作種植模式的探索[J],山西農(nóng)業(yè)大學(xué)學(xué)報〔自然科學(xué)版〕,2004(3):300-302.[2]潘毅,賓館飯店的節(jié)能途徑[J],群眾用電,2004(8):18.[3]張小林,羅來成.單片機在光度測試中的應(yīng)用[J].江西科學(xué),2004,22(2):118-121.[4]杜駿喜,趙假設(shè)楠,徐江寧.一種基于Intel80C196KC單片機的自動光照檢測儀研究[J].沈陽農(nóng)業(yè)大學(xué)學(xué)報,2004-02,35(1):45-47.[5]楊曉東.日光溫室光照分布及調(diào)控技術(shù)[J].現(xiàn)代農(nóng)村科技,2023(5):68.[6]杜尚豐.智能光照傳感器的研制[J].儀器儀表學(xué)報,2003-08,24(4):298-299.[7]鄒楊,儲健.農(nóng)田環(huán)境下溫度、濕度及光照度的檢測[J].農(nóng)業(yè)科技與裝備,2023-06(3):46-48.[8]白澤生,白宗文.一種簡易光照度檢測電路的設(shè)計[J].現(xiàn)代電子技術(shù),2006(11):91-92.[9]高智富.溫室環(huán)境控制技術(shù)的現(xiàn)狀及開展趨勢[J].中國市場,2007(35):106-107.[10]王艷輝,姬曉飛,錢繞金.溫室光照度控制系統(tǒng)[J].計算機系統(tǒng)應(yīng)用,2023,23(11):67-70.[11]王瑩瑩,徐玉珍,洪耀,等.光照度檢測儀的設(shè)計[J].電子測試,2023-05(5):70-72.[12]張德寧,袁洪波,李麗華.基于STC89C52和TSL2561的雞舍光照測控系統(tǒng)[J].農(nóng)機化研究,2023-06(6):149-152.[13]田立東,周繼軍,秦會斌.PWM調(diào)光LED驅(qū)動器設(shè)計[J].機電工程,2023-04:465-468.[14]王鑫鑫,周國平,封維忠,等.溫室環(huán)境數(shù)字光照度計監(jiān)控系統(tǒng)的研究[J].農(nóng)機化研究,2023-11(11):85-90.[15]陳輝煌,佘明輝.基于LED光源的溫室光環(huán)境監(jiān)測與控制系統(tǒng)設(shè)計[J].電子技術(shù),2023(8):24-27.[16]張小云,裴騰達.基于單片機的多功能測量系統(tǒng)的設(shè)計[J].軟件工程師,2023-05,18(5):21-23.[17]閆展坤.智能照明控制系統(tǒng)研究[D].浙江:浙江大學(xué),2023:1-54.[18]韓晨燕.溫室大棚數(shù)字光照度計系統(tǒng)的研究[D].南京:南京林業(yè)大學(xué),2023:1-78.[19]CoatonJ.R,MarsdenA.M.LampsandLighting[M].London,UK:Arnold,2007:210-220.[20]SutuY,WhalenJ.StatisticsforDemodulationRFTinOperationalAmplifiers[J].IEEEInternationalSymposiumonElectromagncticCompatibility,2004-08.[21]DannyH.W.Li,JosephC.Lam.Evaluationoflightingperformanceinofficebuildingswithdaylightingcontrols[J].EnergyandBuilding,2001(33):793—803.[22]EdwardNg,VickyCheng,AnkurGadi,etal.DefiningstandardskiesforHongKong[J].BuildingandEnvironment,2007(42):866-876.[23]JenningsJ.D,RubinsteinF.M,DibartlomeoD,BlanceS.L.Comparisonofcontroloptionsinprivateofficesinanadvancedlightingcontrolstestbed[J].JournaloftheIlluminatingEngineeringSociety,2000,29(2):39-60.[24]LIANGJunli,YANGShuyuan,TANGZhifeng.WeaksignaldetectionbasedonStochasticresonance[J].Election&InformTechnology,2O06,28(6):106-107.[25]JohnsonJ.B.Thermalagitationofelectricityinconductors[J].PhysRev,2002,5(32):97-109.[26]IngemarJ.Cox,MatthewL.Miller,JeffreyA.Bloom,etal.DigitallightandSteganography2ndEd[M].Burlington:MorganKauftnannPublishers,2023:52-73.附錄:程序代碼主控制程序#include<reg51.h>#include<TLC549.h>#include<lcd.h>#include"intrins.h"uintillumination_value=0;sbitP14=P1^4;sbitP15=P1^5;sbitP16=P1^6;sbitP17=P1^7;voidPort_Init(void) //端口初始化,全部IO口高電平{ P0=0xff; P1=0xff; P2=0xff; P3=0xff;}voidmain(){ Port_Init(); //端口初始化 Lcd_Init(); //液晶初始化 while(1) { illumination_value=TLC549_ADC(); lcd_scan();if(illumination_value>=200){P14=1; P15=1; P16=1; P17=1;}elseif(illumination_value>=160){P14=0; P15=1; P16=1; P17=1;}elseif(illumination_value>=125){P14=0;P15=0; P16=1; P17=1;}elseif(illumination_value>=50){P14=0;P15=0;P16=0; P17=1;}else{P14=0;P15=0;P16=0;P17=0;} }}BH1750模塊程序#include<reg51.h>#include<intrins.h>bitwrite=0;sbitSDA=P2^0;sbitSCL=P2^1;typedefunsignedcharBYTE;BYTEBUF[8];unsignedcharnum;unsignedchara,b,sec,count;unsignedcharge,shi,bai,qian,wan;//顯示變量intdis_data,dis_data1;//變量voidconversion(unsignedinttemp_data)//數(shù)據(jù)轉(zhuǎn)換出個,十,百,千,萬{wan=temp_data/10000+0x30;temp_data=temp_data%10000;//取余運算qian=temp_data/1000+0x30;temp_data=temp_data%1000;//取余運算bai=temp_data/100+0x30;temp_data=temp_data%100;//取余運算shi=temp_data/10+0x30;temp_data=temp_data%10;//取余運算ge=temp_data+0x30;}voidNOP(){_nop_();_nop_();_nop_();_nop_();_nop_();}voidDelay(unsignedinti){unsignedintj,k;for(j=i;j>0;j--)for(k=110;k>0;k--);}voidBH1750_Start(){SDA=1;NOP();SCL=1;NOP();SDA=0;NOP();SCL=0;NOP();}voidBH1750_Stop(){SDA=0;NOP();SCL=1;NOP();SDA=1;NOP();}voidBH1750_Write_Byte(unsignedchardat){unsignedchari,temp;temp=dat;for(i=0;i<8;i++){temp=temp<<1;SDA=CY;NOP();SCL=1;NOP();SCL=0;NOP();}SDA=0;NOP();SCL=1;NOP();SCL=0;NOP();}unsignedcharBH1750_Read_Byte(){unsignedchari,x=0;SDA=1;NOP();for(i=0;i<8;i++){x=x<<1;SCL=1;NOP();x=x|SDA;SCL=0;NOP();}returnx;}voidResponds(){SDA=0;NOP();SCL=1;NOP();SCL=0;NOP();}voidNo_Responds(){SDA=1;NOP();SCL=1;NOP();SCL=0;NOP();}voidSingle_Write_BH1750(unsignedcharreg_address){BH1750_Start();BH1750_Write_Byte(0x46);BH1750_Write_Byte(reg_address);BH1750_Stop();}voidMultiple_Read_BH1750(void){unsignedchari;BH1750_Start();BH1750_Write_Byte(0x47);for(i=0;i<2;i++)//連續(xù)讀取2個地址數(shù)據(jù),存儲中BUF{BUF[i]=BH1750_Read_Byte();//BUF[0]存儲0x32地址中的數(shù)據(jù)if(i==1){No_Responds();//最后一個數(shù)據(jù)需要回NOACK}else{Responds();//回應(yīng)ACK}}BH1750_Stop();//停止信號Delay(5);}voidBH1750_init(){Single_Write_BH1750(0x01);}voiduart_init(){TMOD=0x20;TH1=0xfd;TL1=0xfd;SCON=0x50;TR1=1;SCON=0x50;}voiduart_putchar(unsignedchardat){SBUF=dat;//把數(shù)據(jù)送給sbuf緩存器中while(TI!=1);//發(fā)送標(biāo)志位TI如果發(fā)送了為1,沒發(fā)送為0,沒發(fā)送等待,到了退出循環(huán)TI=0;//到了,TI清為0}voiduart_printf(unsignedchar*buff){while(*buff)uart_putchar(*buff++);}

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論