數(shù)字濾波器與模擬濾波器設(shè)計比較要點_第1頁
數(shù)字濾波器與模擬濾波器設(shè)計比較要點_第2頁
數(shù)字濾波器與模擬濾波器設(shè)計比較要點_第3頁
數(shù)字濾波器與模擬濾波器設(shè)計比較要點_第4頁
數(shù)字濾波器與模擬濾波器設(shè)計比較要點_第5頁
已閱讀5頁,還剩38頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

目錄TOC\o"1-3"\h\u20379摘要 =1\*ROMANI17698ABSTRACT =2\*ROMANII21981緒論 1105121.1濾波器的應用 1169791.2濾波器的發(fā)展現(xiàn)狀 125351模擬濾波器設(shè)計 3222112.1低通濾波器設(shè)計 3292682.1.1巴特沃思型低通濾波器設(shè)計 3122022.1.2切比雪夫型低通濾波器設(shè)計 5137752.2高通濾波器設(shè)計 8101232.2.1巴特沃思型高通濾波器設(shè)計 879952.3帶通濾波器設(shè)計 10194252.3.1切比雪夫型帶通濾波器設(shè)計 1336512.4帶阻濾波器設(shè)計 1517642.4.1巴特沃思型帶阻濾波器設(shè)計 16320095數(shù)字濾波器設(shè)計 II型兩種,待設(shè)計濾波器的中心頻率為。于是,帶阻濾波器的各電路元件值可以通過下面的公式計算得出。(2-43)(2-44)(2-45)(2-46)(2-47)(2-48)最終所設(shè)計出線性坐標中心頻率為500MHz、帶寬為190MHz、特征阻抗為50的五階巴特沃思型帶阻濾波器,電路如圖2-24所示。 圖2-23高通濾波器圖2-24五階巴特沃思帶阻濾波器巴特沃思型帶阻濾波器的仿真圖如下:圖2-25巴特沃思型帶阻濾波器的仿真3數(shù)字濾波器設(shè)計3.1數(shù)字濾波器概述數(shù)字濾波器指輸入和輸出都是離散時間信號,通過數(shù)值計算處理來改變包含在輸入信號中頻率成分的相對比例,或過濾掉一些數(shù)字化設(shè)備或程序的頻率成分。數(shù)字濾波和模擬濾波的表述是一樣的,只是完成濾波的方法相差較大。數(shù)字濾波器是借助完成數(shù)值計算以達到濾波的目的,因此測量精度高的數(shù)字濾波器具有穩(wěn)定,體積小,重量輕,靈活,不存在阻抗匹配的優(yōu)勢。此外,數(shù)字濾波器還可以實現(xiàn)特殊濾波作用而模擬濾波器則是幾乎很難完成的。數(shù)字濾波器能夠采用微分方程,單位采樣響應和系統(tǒng)函數(shù)等其他許多方式表述。當實施濾波器研發(fā)時,最直接的是用一個框圖表示。3.1.1數(shù)字濾波器的基本結(jié)構(gòu)我們可以利用系統(tǒng)函數(shù)的方法表達不隨時間變化的數(shù)字濾波器,在實現(xiàn)一個系統(tǒng)函數(shù)的功能表示中,可以使用的方法有兩種:一種方法是使用計算機軟件來實現(xiàn),另一種方法是使用乘法器,延遲元件和加法器設(shè)計一個專用的數(shù)字硬件系統(tǒng)。對于用軟件或硬件來完成的設(shè)計,設(shè)計濾波器的過程中通過在同一個系統(tǒng)的功能結(jié)構(gòu)可以做出很多不同的操作。用無限精度系數(shù)和變量不同的結(jié)構(gòu)可以是等同的,它與輸出輸入特性沒有太大的聯(lián)系。然而當系數(shù)與可變精度在一定范圍內(nèi)時,不同的結(jié)構(gòu)性能可能會呈現(xiàn)較大的區(qū)別。所以,對于離散時間系統(tǒng)的結(jié)構(gòu)形式需要有一定的了解。IIR濾波器的系統(tǒng)函數(shù)可以表示成下式: (3-1) 用來表達系統(tǒng)輸出和輸入之間關(guān)系的不變系數(shù)線性差分方程,我們可以由上面的系統(tǒng)函數(shù)獲得: (3-2)由此可知,輸入序列x(n)按照相關(guān)操作到輸出序列y(n)就是數(shù)字濾波器所起的作用。不同的處理策略可能會使濾波器在實現(xiàn)結(jié)構(gòu)上有所差異。無限長脈沖沖激響應濾波器具有連續(xù)的單位取樣響應h(n),式(3-2)是上面差分方程所示的遞歸式,即該結(jié)構(gòu)具有從輸出反饋到輸入的信號。系統(tǒng)函數(shù)如式(3-1),由此知在z平面有限區(qū)間存在極點。由前面的敘述中知一個線性不隨時間變化的系統(tǒng)函數(shù)如果已經(jīng)給出,則可以有許多差異很大且作用相似的差分方程和網(wǎng)絡(luò)結(jié)構(gòu)。因為乘法計算比較占用時間,所以選用比較少分支的網(wǎng)絡(luò)結(jié)構(gòu)乘法器和最小延遲是一種常見的選擇,這樣就能夠提高計算速度和減少內(nèi)存。但是,當寄存器長度的干擾不能忽視時,選用的差分方程結(jié)構(gòu)中的乘法器與延遲單元并不一定是最少的。IIR濾波器的基本結(jié)構(gòu)有:(1)IIR濾波器的直接型結(jié)構(gòu),該結(jié)構(gòu)有許多優(yōu)點如能夠消除近半數(shù)的延遲線,寄存器和存儲單元的布置將會減少很多。但是同直接I型一樣它也有許多不足之處。為了得到高階系統(tǒng),在現(xiàn)實應用中上面的兩種情況很少使用,而是用許多組合不同的低階系統(tǒng)來組合設(shè)計高階系統(tǒng)。(2)IIR濾波器的級聯(lián)型結(jié)構(gòu),該結(jié)構(gòu)也有許多優(yōu)點如系統(tǒng)設(shè)計實現(xiàn)比較簡單,若要實現(xiàn)一個二階系統(tǒng)只要轉(zhuǎn)換一下輸入系數(shù)就能得到。其次是它有比較快的運算速度并且極點位置可以單獨調(diào)整。最后是多個二階網(wǎng)絡(luò)之間的誤差干擾不大,對字長沒有太高的要求且總體誤差不大。但該結(jié)構(gòu)也有一些不足之處如零點不可以直接調(diào)整。(3)IIR濾波器的并聯(lián)型結(jié)構(gòu),該結(jié)構(gòu)的優(yōu)點是要完成整個系統(tǒng)設(shè)計時只需一個二階節(jié)利用系數(shù)變換就能得到。其次是可以單一操作調(diào)整零極點。調(diào)整α1i、α2i只是對第i對零點進行單一變換,調(diào)整β1i、β2i則是對第i對極點進行單獨調(diào)整。還有就是各二階零極點的組合能夠交換位置,并且可以用優(yōu)化組合的方式以使運算誤差變小。最后一個優(yōu)勢,就是可進行流水線操作。但是它也有一些缺點比如二階階電平難以控制,電平較小會使信噪比也變小,如果電平過大則容易導致溢出。 a直接型b并聯(lián)型c串聯(lián)型圖3-1IIR濾波器的基本結(jié)構(gòu)FIR濾波器的單位取樣響應長度是有一定范圍的,通常完成設(shè)計的方式是采用非遞歸結(jié)構(gòu)形式。FIR數(shù)字濾波器一種是直接型,另一種是級聯(lián)型。FIR濾波器實現(xiàn)基本結(jié)構(gòu)有:(1)FIR濾波器的直接型結(jié)構(gòu)可以用下式的差分方程來表達輸出和輸入之間的聯(lián)系:

(3-3)

由上述差分方程獲得的實現(xiàn)結(jié)構(gòu)形式如圖3-2所示:圖3-2直接型如果是具有線性相位的有限長單位脈沖沖激濾波器,則就能夠?qū)χ苯有徒Y(jié)構(gòu)移除冗余成分,下面分情況討論:圖3-3N為奇數(shù)FIR濾波器實現(xiàn)結(jié)構(gòu) 圖3-4N為偶數(shù)FIR濾波器實現(xiàn)結(jié)構(gòu)(2)FIR濾波器的級聯(lián)型結(jié)構(gòu)拆分函數(shù)H(z)使其乘積描述具有實系數(shù)二階因子: (3-4)

可以借助二階的級聯(lián)結(jié)構(gòu)來獲得有限長沖激響應濾波器(FIR),也可借助直接型結(jié)構(gòu)完成各個二階節(jié)的設(shè)計。如圖所示:圖3-5FIR濾波器的級聯(lián)結(jié)構(gòu)由于上圖中的結(jié)構(gòu)每一節(jié)能夠?qū)σ浑p零點進行操作,因次可通過借助圖中的結(jié)構(gòu)來操作零點傳輸。3.1.2數(shù)字濾波器的設(shè)計原理按照數(shù)字濾波器的沖激函數(shù)在時域中表現(xiàn)的特性,可將數(shù)字濾波器分為無限長沖激響應濾波器和有限長沖激響應濾波器。無限長沖激響應濾波器具有不斷延續(xù)的時間沖激響應特性。有限長沖激響應濾波器在沖激響應的時間上只可以維持很短過程,在工程實踐中即能夠借助遞歸結(jié)構(gòu)也能夠借助非遞歸結(jié)構(gòu)來完成設(shè)計。數(shù)字濾波器有雙線性變換法,窗函數(shù)設(shè)計法等各種設(shè)計手段。下面是設(shè)計數(shù)字濾波器要遵循的操作流程:(1)確定指標當要對濾波器設(shè)計時,必須根據(jù)項目中的要求確定技術(shù)規(guī)格。在實踐中,數(shù)字濾波器通常被用來獲得一個選定的頻率操作。因此,在頻域中幅度和相位響應就是一般形式的指標。在幅度上給出了兩個主要方面,首先是一個絕對指標,另一方面就是一個相對指標,它要求是以分貝形式表述。(2)逼近當技術(shù)參數(shù)得到確認以后,數(shù)字濾波器的模型便可以創(chuàng)建了。理想數(shù)字濾波器模型被使用后,借助設(shè)計數(shù)字濾波器的手段設(shè)計濾波器模型來逼近給定的目標。(3)性能分析和計算機仿真濾波器可以使用差分方程描述,也可以利用系統(tǒng)函數(shù)和沖激響應來描述,上面所說的濾波器就是用前面的幾種方式描述的。在分析濾波器的頻率特性和相位特性時,可以借助前面的描述。可以用計算機仿真來獲得待設(shè)計濾波器,然后根據(jù)濾波的數(shù)據(jù)來選擇。3.2有限沖激響應濾波器設(shè)計無限長沖激響應濾波器擁有能夠參考模擬濾波器設(shè)計結(jié)果的優(yōu)越之處,但是也有非線性相位的不足之處。如果借助全通網(wǎng)絡(luò)對濾波器實施校正,則能夠?qū)崿F(xiàn)線性相位。有限長沖激響應濾波器則擁有可簡單方面實現(xiàn)線性相位的優(yōu)勢。FIR濾波器單位沖激響應h(n)的特點:FIR濾波器單位沖激響應h(n)是有限長的,有以下系統(tǒng)函數(shù): (3-5)在有限Z平面有(N-1)個零點,而它的(N-1)個極點均位于原點z=0處。有限長沖激響應濾波器線性相位特點:若有限長沖激響應濾波器的h(n)是具有實數(shù)的單位取樣響應,并且符合下面其中的一個條件:偶對稱h(n)=h(N-1-n)

奇對稱h(n)=-h(N-1-n)單位沖激響應對稱中心在n=(N-1)/2位置,那么數(shù)字濾波器可以得到的線性相位便比較精確。窗函數(shù)設(shè)計法:采用窗函數(shù)設(shè)計法時通常把所期望濾波器的頻率響應先給出來,并通過引出,通常期望濾波器擁有無限長非因果序列的沖激響應,但是具有有限長度單位沖激響應的有限長沖激響應濾波器正是我們要設(shè)計的,因此要借助長度有限的序列對長度不斷延續(xù)的序列做逼近設(shè)計,設(shè): (3-6)使用長度有限的窗函數(shù)來獲得是比較常用的手段即: (3-7)上面所說的窗函數(shù)便是矩形序列RN(n),按照時域中是相乘頻域中是卷積的描述有: (3-8)其中,為矩形窗譜,FIR濾波器具有頻域函數(shù)為的頻率響應。試設(shè)計FIR低通濾波器使其具有線性的相位,給定的采樣頻率,阻帶起始頻率,阻帶衰減大于或等于50dB,通帶截止頻率。1.求數(shù)字域頻率通帶截止頻率;阻帶起始頻率阻帶衰減;截止頻率2.獲得理想低通濾波器頻率響應3.理想低通濾波器沖激響應為滿足線性相位4.確定窗函數(shù)及FIR濾波器長度N由阻帶衰減,可選漢明窗,其阻帶最小衰減53dB。由漢明窗過渡帶寬有5.確定FIR濾波器沖激響應漢明窗函數(shù)序列則FIR濾波器沖激響應為設(shè)計到此步,便設(shè)計出了有限長單位沖激響應濾波器的系統(tǒng)函數(shù),根據(jù)此函數(shù)便可得到最后的設(shè)計了。FIR數(shù)字濾波器的仿真圖如下:3.3無限沖激響應濾波器設(shè)計目前借助設(shè)計模擬濾波器的方法,是進行設(shè)計IIR數(shù)字濾波器經(jīng)常用到的設(shè)計手段。當下設(shè)計模擬濾波器的技術(shù)可以說相當完善了,不僅有供參考比較齊全的圖表,并且還有相當完善的供設(shè)計公式。所以在進行設(shè)計數(shù)字濾波器時,只要善于使用現(xiàn)有的資源數(shù)據(jù)就能簡化設(shè)計。IIR數(shù)字濾波器的設(shè)計步驟如下所示:(1)按照變換后的技術(shù)指標對模擬濾波器進行操作。(2)按照相應的操作流程把H(s)變換成H(z)。(3)把數(shù)字濾波器的技術(shù)參數(shù)根據(jù)相應標準設(shè)計成模擬濾波器的技術(shù)參數(shù)。下面將通過實例介紹無限沖激響應濾波器的設(shè)計。模擬濾波器的傳遞函數(shù)Ha(s)已經(jīng)給出即試用脈沖響應不變法把Ha(s)設(shè)計成數(shù)字濾波器的系統(tǒng)函數(shù)H(z)。以部分分式的形式給出Ha(s):的極點為數(shù)字濾波器的系統(tǒng)函數(shù):對采樣周期T的使用:按照濾波器最高截止頻率的2倍以上選擇使用,設(shè)T=1s時用H1(z)表示,T=0.1s時用H2(z)表示,則截止到此步無限沖激響應數(shù)字濾波器的設(shè)計便完成了。IIR數(shù)字濾波器的仿真圖如下:4模擬濾波器與數(shù)字濾波器比較4.1模擬濾波器和數(shù)字濾波器優(yōu)缺點數(shù)字濾波器一般不會出現(xiàn)相位偏移,而模擬濾波器則會有相移。通過使用可編程邏輯器件能夠很方便的實現(xiàn)數(shù)字濾波器的設(shè)計。然而只借助可編程器件實現(xiàn)不了模擬濾波器的設(shè)計。它的設(shè)計通常可以采用電容,電阻和電感的組合來完成。數(shù)字濾波器的濾波速度相對來說不是太快,而模擬濾波器有能夠快速濾波的電路,信號的輸出與輸入幾乎同步。數(shù)字濾波器具有的優(yōu)勢是其可靠性比較高,精度也不低,能夠通過編程改變特性,易集成等等。通常模擬濾波器的信號噪聲比要比數(shù)字濾波器的低一些,這是由于數(shù)字濾波器是通過數(shù)字設(shè)備來執(zhí)行操作,因此能夠消除噪聲的干擾如在模擬電路中的電阻熱噪聲。噪聲的主要來源是數(shù)字濾波器引入到模擬電路和在模擬系統(tǒng)轉(zhuǎn)換成數(shù)字系統(tǒng)的過程中在輸入端出現(xiàn)的量化噪聲。在對數(shù)字系統(tǒng)的操作處理中也許會使噪聲增大,因此應該使用適當?shù)慕Y(jié)構(gòu)對數(shù)字濾波器進行設(shè)計,這樣來輸入噪聲對系統(tǒng)性能的影響便可以大大減弱。數(shù)字濾波器的可靠性要比模擬濾波器高出很多。模擬濾波器電路中的各個電子元器件的特性會由于時間的改變,溫度和電壓的改變發(fā)生漂移,上述的問題在數(shù)字電路中并不會出現(xiàn)。若要使數(shù)字濾波器以穩(wěn)定和可靠的方式工作,數(shù)字電路就必須在一定的工作環(huán)境之中。數(shù)字濾波器具有精度高,可靠性高,并且數(shù)字濾波器有很強的靈活性,只要把程序參數(shù)稍作修改便能夠獲得濾波器的相應性能。另外就是數(shù)字濾波器能夠使一個計算機有多個信號被操作處理,并且能夠進行分時復用,能處理幾赫茲頻率信號。數(shù)字濾波器可靠性很強,不容易受環(huán)境溫度影響等。但是,如果想要頻域過渡區(qū)能夠迅速衰減,它必須要有大量的計算工作。模擬濾波器的優(yōu)點還有就是模擬系統(tǒng)可以在較高的頻率下工作,此頻率在數(shù)字設(shè)備能夠到達的最高時鐘頻率之上。其次,有時模擬解決方案要比數(shù)字解決方案更具成本效益。模擬濾波器在自身優(yōu)越之處的研究價值還是很不錯的。一般在數(shù)字系統(tǒng)的前面,通常有一結(jié)構(gòu)能夠?qū)ξ⑷跣盘栠M行預處理,該結(jié)構(gòu)經(jīng)常借助模擬濾波器完成。一般抽樣量化開始時,還需要用模擬濾波器進行限制處理信號的最高頻率。4.2模擬濾波器與數(shù)字濾波器比較現(xiàn)在有越來越多的地方要用到濾波器,現(xiàn)代濾波器與經(jīng)典濾波器則是濾波器的兩種主要類型。而數(shù)字濾波器和模擬濾波器是現(xiàn)在經(jīng)典濾波器著重研究的兩個對象,數(shù)字濾波器具有有限脈沖響應濾波器和無限脈沖響應濾波器兩種劃分。數(shù)字濾波器是現(xiàn)代研究與發(fā)展不可或缺的重要因素,最重要的因素是與模擬濾波器相比,數(shù)字濾波器呈現(xiàn)出下述的眾多優(yōu)勢:(1)準確度:對于17位字長的數(shù)字系統(tǒng)能夠達到比較高的精度,這種較高的精度是模擬電路中的元件難以實現(xiàn)的。所以當遇到濾波系統(tǒng)有比較苛刻的精度要求時,必須使用數(shù)字濾波器來完成。(2)靈活性大:各自的乘法器系數(shù)決定了數(shù)字濾波器的性能,這些系數(shù)被存儲于系數(shù)存儲器中,一旦存儲在存儲器中的系數(shù)發(fā)生變化,便能夠獲得不一樣的系統(tǒng),但是模擬濾波器的系統(tǒng)特性修改時就比較麻煩和困難了。(3)高可靠性:由于數(shù)字系統(tǒng)只存在”1“和”0“兩個不同的電平的信號,因此不易受噪聲和環(huán)境條件的干擾,通常模擬濾波器的各種參數(shù)容易受溫度,電磁感應或振動的干擾。通常數(shù)字濾波器都是借助規(guī)模龐大的集成電路,如CPLD或FPGA來完成設(shè)計,也可以使用相應的相關(guān)處理器。一般而言用分立元件組成的模擬系統(tǒng)要比大規(guī)模的集成電路具有更高的故障率。(4)大規(guī)模集成性:由于數(shù)字部件標準化程度高,便于大規(guī)模集成和生產(chǎn),對電路參數(shù)沒有嚴格要求,產(chǎn)品的產(chǎn)量高,價格也越來越低。和模擬濾波器相比,數(shù)字濾波器的尺寸,重量和性能方面的優(yōu)點也日趨顯著。(5)并行處理:能夠?qū)崿F(xiàn)并行處理是數(shù)字系統(tǒng)的又一個巨大優(yōu)勢,例如數(shù)字濾波器可采用DSP處理器來完成并行處理。TI公司的TMS320C5000系列的DSP芯片采用8條指令并行處理的結(jié)構(gòu),時鐘頻率為l00MHZ的DSP芯片,這時可高達800MIPS(即每秒執(zhí)行百萬條指令)。有兩種類型的數(shù)字濾波器,它們在設(shè)計方法和性能上都有很大不同。有限長單位沖激響應濾波器可以進行直接設(shè)計已經(jīng)給出的頻率特性,而對于無限長單位沖激響應濾波器則要先以設(shè)計模擬濾波器的方法為基礎(chǔ),接著設(shè)計模擬濾波器的指標使其符合數(shù)字濾波器的要求。理想的濾波器通常是不能夠?qū)崿F(xiàn)的。究其原因是從一個頻帶突然變到另一個頻帶是不可能達到的。為了物理上的實現(xiàn),應有一個過度帶設(shè)置在上述的兩個頻帶之間,并且僅限制1或0在阻帶和通帶之間是不恰當?shù)?,應該留出一定的容限。?shù)字濾波器的技術(shù)要求中,分別是通帶、阻帶的容限,然而從通帶所容許的最大衰減和阻帶所應該具有的最小衰減可以給出濾波器設(shè)計的詳細技術(shù)指標。因為對于數(shù)字濾波器通常以代表弧度,事實上給定的頻率限制通常是頻率,單位為Hz,所以設(shè)計數(shù)字濾波器時抽樣頻率也應該被給出。不管是在設(shè)計IIR濾波器時或者是設(shè)計FIR濾波器時一般包括以下三個步驟:(1)設(shè)計函數(shù)H(z)以逼近所需要的技術(shù)指標;(2)給定待設(shè)計濾波器的技術(shù)指標;(3)實現(xiàn)所設(shè)計的H(z):一般而言,常常用頻率響應的允許誤差來表征濾波器的性能。在對濾波器進行設(shè)計時,通常分為有限長單位沖激響應濾波器和無限長單位沖激響應濾波器。就現(xiàn)在而言,在設(shè)計無限長單位沖激響應濾波器時采用的設(shè)計方法要以模擬濾波器為基礎(chǔ)。這是因為現(xiàn)在已存在比較完善的方法來設(shè)計模擬濾波器,并且其設(shè)計公式比較成熟也有相應可供查閱的圖表。然而在把已經(jīng)完成的模擬濾波器數(shù)字化時會有非線性相移現(xiàn)象。例如,模擬頻率和數(shù)字頻率之間的雙線性變換公式為:(4-1)在式(4-1)中采樣周期為T,且頻率與之間的非線性關(guān)系很突出。由于上述原因,這會使經(jīng)過雙線性變換的線性相位模擬濾波器不在具有線性相位,即得到的數(shù)字濾波器也是非線性相位的。這種問題在設(shè)計其他無限長單位沖激響應濾波器時也會出現(xiàn)。在一些波形傳遞系統(tǒng)里(如圖像處理,現(xiàn)在電子系統(tǒng),數(shù)據(jù)傳輸?shù)龋┩ǔR笮盘杺鬏斖ǖ酪邆渚€性相位特性。而有限長單位沖激響應濾波器能夠在自由設(shè)計濾波器的幅度特時做到嚴格準確的線性線位,在這一層面上它是占有巨大優(yōu)勢的。結(jié)束語本文介紹了模擬濾波器和數(shù)字濾波器的設(shè)計方法和步驟,濾波器有硬件電路簡單、成本低廉、可靠性高的特點,而且它的應用非常普遍。對于本次畢業(yè)設(shè)計,我有很多感受。經(jīng)過這一段時間的努力學習感覺收獲很多,盡管在做畢業(yè)設(shè)計的時候會有很多困難。當自己快要完成畢業(yè)論文時感覺收獲很多,之前的努力也得到了相應的回報心中也感覺非常高興。另外,在自己做畢業(yè)論文的過程中,我也發(fā)現(xiàn)了一些不足的地方需要進一步提高與改善。數(shù)字濾波器與模擬濾波器設(shè)計比較是我畢業(yè)論文設(shè)計中的設(shè)計任務(wù),在此次畢業(yè)設(shè)計中也讓我從遇到的各種困難中學習到了許多知識。我認為畢業(yè)設(shè)計就是把自己在大學幾年所學的部分知識在其中應用,也就是把理論知識應用到實踐之中去,讓自己學的知識學有所用。我們是即將畢業(yè)的大學生,下一步便要踏入社會投入到祖國的建設(shè)之中,我們必須要有扎實的知識基礎(chǔ)以解決以后所遇到的各種難題。最后,我們雖然要以比較牢固的專業(yè)知識為前提條件,但在設(shè)計的過程中我們要對自己有信心,要能坐的住,不要害怕困難,要堅信自己最后一定能夠出色的完成老師布置的設(shè)計任務(wù)。在做畢業(yè)設(shè)計的過程中要學會主動找出問題,并通過查資料或者是通過其他途徑尋找解決問題的方法。其實做畢業(yè)設(shè)計的過程就是再深入學習的過程,在解決問題的同時也能夠提高自己解決問題的能力。在做畢業(yè)設(shè)計時候我們要學會培養(yǎng)獨立解決問題的能力,來迎接以后工作中遇到的各種挑戰(zhàn)。參考文獻[1]張登奇,周

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論