數(shù)電復(fù)習(xí)題(含答案)_第1頁
數(shù)電復(fù)習(xí)題(含答案)_第2頁
數(shù)電復(fù)習(xí)題(含答案)_第3頁
數(shù)電復(fù)習(xí)題(含答案)_第4頁
數(shù)電復(fù)習(xí)題(含答案)_第5頁
已閱讀5頁,還剩14頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

./數(shù)電復(fù)習(xí)題選擇題:1.下列四個數(shù)中,與十進(jìn)制數(shù)〔163〕10不相等的是〔D〕A、<A3>16 B、〔10100011〕2C、〔000101100011〕8421BCDD、〔203〕82.N個變量可以構(gòu)成多少個最小項〔C〕A、NB、2NC、2ND、2N-13.下列功能不是二極管的常用功能的是〔C〕A、檢波B、開關(guān)C、放大D、整流4..將十進(jìn)制數(shù)轉(zhuǎn)換成八進(jìn)制數(shù)是〔B〕A、20B、22C、21D、235.譯碼器的輸入地址線為4根,那么輸出線為多少根〔C〕A、8B、12C、16D、206.能把正弦信號轉(zhuǎn)換成矩形脈沖信號的電路是〔D〕A、多諧振蕩器B、D/A轉(zhuǎn)換器C、JK觸發(fā)器D、施密特觸發(fā)器7.三變量函數(shù)的最小項表示中不含下列哪項〔A〕A、m2B、m5C、m3D、m78.用PROM來實現(xiàn)組合邏輯電路,他的可編程陣列是〔B〕A、與陣列B、或陣列C、與陣列和或陣列都可以D、以上說法都不對9.A/D轉(zhuǎn)換器中,轉(zhuǎn)換速度最高的為<

A

>轉(zhuǎn)換A、并聯(lián)比較型B、逐次逼近型C、雙積分型D、計數(shù)型10.關(guān)于PAL器件與或陣列說法正確的是〔A〕A、只有與陣列可編程B、都是可編程的C、只有或陣列可編程D、都是不可編程的11.當(dāng)三態(tài)門輸出高阻狀態(tài)時,輸出電阻為〔A〕A、無窮大B、約100歐姆C、無窮小D、約10歐姆12為使采樣輸出信號不失真地代表輸入模擬信號,采樣頻率和輸入模擬信號的最高頻率的關(guān)系是〔C〕A、≥B、≤C、≥2D、≤213.下列說法不正確的是〔C〕A.集電極開路的門稱為OC門B.三態(tài)門輸出端有可能出現(xiàn)三種狀態(tài)〔高阻態(tài)、高電平、低電平〕C.OC門輸出端直接連接可以實現(xiàn)正邏輯的線或運算D.利用三態(tài)門電路可實現(xiàn)雙向傳輸14.以下錯誤的是〔B〕A.?dāng)?shù)字比較器可以比較數(shù)字大小B.實現(xiàn)兩個一位二進(jìn)制數(shù)相加的電路叫全加器C.實現(xiàn)兩個一位二進(jìn)制數(shù)和來自低位的進(jìn)位相加的電路叫全加器D.編碼器可分為普通全加器和優(yōu)先編碼器15.下列描述不正確的是〔A〕A.觸發(fā)器具有兩種狀態(tài),當(dāng)Q=1時觸發(fā)器處于1態(tài)B.時序電路必然存在狀態(tài)循環(huán)C.異步時序電路的響應(yīng)速度要比同步時序電路的響應(yīng)速度慢D.邊沿觸發(fā)器具有前沿觸發(fā)和后沿觸發(fā)兩種方式,能有效克服同步觸發(fā)器的空翻現(xiàn)象16.離散的,不連續(xù)的信號,稱為〔B〕。A.模擬信號B.數(shù)字信號17.組合邏輯電路通常由〔A〕組合而成。A.門電路B.觸發(fā)器C.計數(shù)器18.8線—3線優(yōu)先編碼器的輸入為I0—I7,當(dāng)優(yōu)先級別最高的I7有效時,其輸出的值是〔C〕。A.111B.010C.000D.10119.十六路數(shù)據(jù)選擇器的地址輸入〔選擇控制〕端有〔C〕個。A.16B.2C.4D.820.一位8421BCD碼譯碼器的數(shù)據(jù)輸入線與譯碼輸出線的組合是〔C〕。A.4:6B.1:10C.4:10D.2:421.函數(shù)的結(jié)果是〔C〕A.B.C.D.22.ROM屬于〔A〕。A.組合邏輯電路B.時序邏輯電路23.有一個左移移位寄存器,當(dāng)預(yù)先置入1011后,其串行輸入固定接0,在4個移位脈沖CP作用下,四位數(shù)據(jù)的移位過程是〔A〕。A.1011--0110--1100--1000—0000B.1011--0101--0010--0001—000024.一個二進(jìn)制序列檢測電路,當(dāng)輸入序列中連續(xù)輸入5位數(shù)碼均為1時,電路輸出1,則同步時序電路最簡狀態(tài)數(shù)為〔B〕A.4B.5C.6D.725.可以直接現(xiàn)與的器件是〔A〕A.OC門B.I2LC.ECL門D.TTL門26.16個觸發(fā)器構(gòu)成計數(shù)器,該計數(shù)器可能的最大計數(shù)模值是〔D〕A.16B.32C.162D.21627.用1K×1位的RAM擴(kuò)展成4K×2位應(yīng)增加地址線<B>根。A.1B.2C.3D.428.能把正弦信號轉(zhuǎn)換成矩形脈沖信號的電路是〔D〕A.多諧振蕩器B.D/A轉(zhuǎn)換器C.JK觸發(fā)器D.施密特觸發(fā)器29.接通電源就能輸出矩形脈沖波形的是〔A〕A.多諧振蕩器B.D/A轉(zhuǎn)換器C.JK觸發(fā)器D.施密特觸發(fā)器30.在函數(shù)F=AB+CD的真值表中,F=1的狀態(tài)有多少個?〔D〕。A、2B、4C、6D、7E、1631.對于題圖1所示波形,其反映的邏輯關(guān)系是:<B>

圖1A、與非關(guān)系;B、異或關(guān)系;C、同或關(guān)系;D、或關(guān)系;E、無法判斷。32、矩形脈沖信號的參數(shù)有D。A.周期B.占空比C.脈寬D.以上都是33、電路的輸出態(tài)不僅與當(dāng)前輸入信號有關(guān),還與前一時刻的電路狀態(tài)有關(guān),這種電路為〔B〕。A.組合電路B.時序電路34、米利和莫爾型時序電路的本質(zhì)區(qū)別是〔B〕A、沒有輸入變量。B、當(dāng)時的輸出只和當(dāng)時電路的狀態(tài)有關(guān),和當(dāng)時的輸入無關(guān)。C、沒有輸出變量。D、當(dāng)時的輸出只和當(dāng)時的輸入有關(guān),和當(dāng)時電路狀態(tài)無關(guān)。35、十進(jìn)制數(shù)25用8421BCD碼表示為B。A.10101B.00100101C.100101D.101036、下列各函數(shù)等式中無冒險現(xiàn)象的函數(shù)式有D。A.B.C.D.E.37、在下列邏輯電路中,不是組合邏輯電路的有D。A.譯碼器B.編碼器C.全加器D.寄存器38、把一個五進(jìn)制計數(shù)器與一個四進(jìn)制計數(shù)器串聯(lián)可得到D進(jìn)制計數(shù)器。A.4B.5C.9D.2039、N個觸發(fā)器可以構(gòu)成最大計數(shù)長度〔進(jìn)制數(shù)〕為D的計數(shù)器。A.NB.2NC.N2D.2N40、同步時序電路和異步時序電路比較,其差異在于后者B。A.沒有觸發(fā)器B.沒有統(tǒng)一的時鐘脈沖控制C.沒有穩(wěn)定狀態(tài)D.輸出只與內(nèi)部狀態(tài)有關(guān)41、尋址容量為16K×8的RAM需要C根地址線。A.4B.8C.14D.16E.16K42、只讀存儲器ROM中的內(nèi)容,當(dāng)電源斷掉后又接通,存儲器中的內(nèi)容D。A.全部改變B.全部為0C.不可預(yù)料D.保持不變43、將一個時間上連續(xù)變化的模擬量轉(zhuǎn)換為時間上斷續(xù)〔離散〕的模擬量的過程稱為A。A.采樣B.量化C.保持D.編碼44、若某ADC取量化單位△=,并規(guī)定對于輸入電壓,在0≤<時,認(rèn)為輸入的模擬電壓為0V,輸出的二進(jìn)制數(shù)為000,則≤<時,輸出的二進(jìn)制數(shù)為B。A.001B.101C.110D.11145、指出下列電路中能把串行數(shù)據(jù)轉(zhuǎn)換為并行數(shù)據(jù)的是〔C〕A、JK觸發(fā)器B、3線-8線譯碼器C、移位寄存器D、十進(jìn)制計數(shù)器46、邏輯函數(shù)F==A。A.BB.AC.D.47、在何種輸入情況下,"與非"運算的結(jié)果是邏輯0。DA.全部輸入是0B.任一輸入是0C.僅一輸入是0D.48、若在編碼器中有50個編碼對象,則要求輸出二進(jìn)制代碼位數(shù)為B位。A.5B.6C.10D.49、在下列邏輯電路中,不是組合邏輯電路的有D。A.譯碼器B.編碼器C.全加器D.寄存器50、下列邏輯電路中為時序邏輯電路的是C。A.變量譯碼器B.加法器C.數(shù)碼寄存器D.數(shù)據(jù)選擇器51、隨機(jī)存取存儲器具有A功能。A.讀/寫B(tài).無讀/寫C.只讀D.只寫52、尋址容量為16K×8的RAM需要C根地址線。A.4B.8C.14D.16E.53、用二進(jìn)制碼表示指定離散電平的過程稱為D。A.采樣B.量化C.保持D.編碼54、將幅值上、時間上離散的階梯電平統(tǒng)一歸并到最鄰近的指定電平的過程稱為B。A.采樣B.量化C.保持D.編碼填空題:1.數(shù)制轉(zhuǎn)換:<8F>16=<143>10=<10001111>2=<217>82.有一數(shù)碼10010011,作為自然二進(jìn)制數(shù)時,它相當(dāng)于十進(jìn)制數(shù)<147>,作為8421BCD碼時,它相當(dāng)于十進(jìn)制數(shù)<93>。3.已知某函數(shù),該函數(shù)的反函數(shù)=<>4.如果對鍵盤上108個符號進(jìn)行二進(jìn)制編碼,則至少要<7>位二進(jìn)制數(shù)碼。5.在TTL門電路的一個輸入端與地之間接一個10K電阻,則相當(dāng)于在該輸入端輸入<高>電平;在CMOS門電路的輸入端與電源之間接一個1K電阻,相當(dāng)于在該輸入端輸入<高>電平。6.晶體三極管在工作時,發(fā)射結(jié)和集電結(jié)均處正向偏置,該晶體管工作在<飽和導(dǎo)通>狀態(tài)。7.74LS138是3線—8線譯碼器,譯碼為輸出低電平有效,若輸入為A2A1A0=110時,輸出應(yīng)為<101111118.一個10位地址碼、8位輸出的ROM,其存儲容量為<8K或213>。9.將一個包含有32768個基本存儲單元的存儲電路設(shè)計16位為一個字節(jié)的ROM。該ROM有<11>根地址線,有<16>根數(shù)據(jù)讀出線。10.能夠?qū)崿F(xiàn)"線與"的TTL門電路叫<OC門>。11.按邏輯功能的不同特點,數(shù)字電路可分為〔組合邏輯電路〕和〔時序邏輯電路〕兩大類。12.在邏輯電路中,三極管通常工作在〔飽和〕和〔截止〕狀態(tài)13.〔406〕10=〔010000000110〕8421BCD14.一位數(shù)值比較器的邏輯功能是對輸入的〔A和B兩個〕數(shù)據(jù)進(jìn)行比較,它有〔YA>B〕、〔YA<B〕、〔YA=B〕三個輸出端。15.TTL集成JK觸發(fā)器正常工作時,其和端應(yīng)接〔高〕電平。16.單穩(wěn)態(tài)觸發(fā)器有兩個工作狀態(tài)〔穩(wěn)態(tài)〕和〔暫穩(wěn)態(tài)〕,其中〔暫穩(wěn)態(tài)〕是暫時的。17.一般ADC的轉(zhuǎn)換過程由〔采樣〕、〔保持〕、〔量化〕和〔編碼〕4個步驟來完成。18.存儲器的存儲容量是指〔存儲單元的總和〕。某一存儲器的地址線為A14~A0,數(shù)據(jù)線為D3~D0,其存儲容量是〔215×4〕。19.電路如下圖〔圖中為上升沿Jk觸發(fā)器〕,觸發(fā)器當(dāng)前狀態(tài)Q3Q2Q1為"100",請問在時鐘作用下,觸發(fā)器下一狀態(tài)〔Q3Q2Q1〕為〔011〕20.如果對160個符號進(jìn)行二進(jìn)制編碼,則至少需要<8>位二進(jìn)制數(shù)。21.組合邏輯電路任何時刻的輸出信號,與該時刻的輸入信號<有關(guān)>;與電路原來所處的狀態(tài)<無關(guān)>;時序邏輯電路任何時刻的輸出信號,與該時刻的輸入信號<有關(guān)>;與信號作用前電路原來所處的狀態(tài)<有關(guān)>。<答案填有關(guān)或無關(guān)>22.OC門稱為<集電極開路>門,多個OC門輸出端并聯(lián)到一起可實現(xiàn)<線與>功能。23.發(fā)光二極管半導(dǎo)體數(shù)碼顯示器的內(nèi)部接法有兩種形式:共<陰>接法和共<陽>接法。對于以上兩種接法的發(fā)光二極管數(shù)碼顯示器,應(yīng)分別采用<高>電平驅(qū)動和<低>電平驅(qū)動的七段顯示譯碼器。24.時序邏輯電路按照其觸發(fā)器是否有統(tǒng)一的時鐘控制分為<同步>時序電路和<異步>時序電路。25.<5E.C>16=〔1011110.11〕2=<136.6>8=<94.75>10=<10010100.01110101>8421BCD26.邏輯函數(shù)F=+B+D的反函數(shù)=<>。27.邏輯函數(shù)F=A〔B+C〕·1的對偶函數(shù)是<>。28.集電極開路門的英文縮寫<OC>門,工作時必須外加<電源>和<電阻>。多個集電極開路門輸出端并聯(lián)到一起可實現(xiàn)<線與>功能。29.時序邏輯電路按照其觸發(fā)器是否有統(tǒng)一的時鐘控制分為<同步>時序電路和<異步>時序電路。30.在數(shù)字電路中,常用的計數(shù)制除十進(jìn)制外,還有<二進(jìn)制>、<八進(jìn)制>、<十六進(jìn)制>。判斷題:1.TTL或非門多余輸入端可以接高電平?!病痢?.寄存器屬于組合邏輯電路?!病痢?.構(gòu)成一個5進(jìn)制計數(shù)器需要5個觸發(fā)器〔×〕4.石英晶體振蕩器的振蕩頻率取決于石英晶體的固有頻率。〔√〕5.當(dāng)時序邏輯電路存在有效循環(huán)時該電路能自啟動〔×〕6.八路數(shù)據(jù)分配器的地址輸入〔選擇控制〕端有8個。〔×〕7.關(guān)門電平UOFF是允許的最大輸入高電平?!病痢?.最常見的單片集成DAC屬于倒T型電阻網(wǎng)絡(luò)DAC?!病獭?.TTL門電路在高電平輸入時,其輸入電流很小,74LS系列每個輸入端的輸入電流在40uA以下〔√〕10.三態(tài)門輸出為高阻時,其輸出線上電壓為高電平〔×〕11.超前進(jìn)位加法器比串行進(jìn)位加法器速度慢〔×〕12.譯碼器哪個輸出信號有效取決于譯碼器的地址輸入信號〔√〕13.五進(jìn)制計數(shù)器的有效狀態(tài)為五個〔√〕14.施密特觸發(fā)器的特點是電路具有兩個穩(wěn)態(tài)且每個穩(wěn)態(tài)需要相應(yīng)的輸入條件維持?!病獭?5.當(dāng)時序邏輯電路存在無效循環(huán)時該電路不能自啟動〔√〕16.RS觸發(fā)器、JK觸發(fā)器均具有狀態(tài)翻轉(zhuǎn)功能〔×〕17.D/A的含義是模數(shù)轉(zhuǎn)換〔×〕18.構(gòu)成一個7進(jìn)制計數(shù)器需要3個觸發(fā)器〔√〕19.兩個二進(jìn)制數(shù)相加,并加上來自高位的進(jìn)位,稱為全加,所用的電路為全加器〔×〕20.判斷時序邏輯電路能否自啟動可通過判斷該電路是否存在有效循環(huán)來實現(xiàn)〔×〕21.利用三態(tài)門可以實現(xiàn)數(shù)據(jù)的雙向傳輸?!病獭?2.有些OC門能直接驅(qū)動小型繼電器?!病獭?3.555定時器可以構(gòu)成多諧振蕩器、單穩(wěn)態(tài)觸發(fā)器、施密特觸發(fā)器?!病獭?4.RS觸發(fā)器、JK觸發(fā)器均具有狀態(tài)翻轉(zhuǎn)功能〔×〕25.PLA的與陣列和或陣列均可編程。〔√〕26.施密特觸發(fā)器電路具有兩個穩(wěn)態(tài),而單穩(wěn)態(tài)觸發(fā)器電路只具有一個穩(wěn)態(tài)〔√〕27.可用ADC將麥克風(fēng)信號轉(zhuǎn)換后送入計算機(jī)中處理時〔√〕28.TTL輸出端為低電平時帶拉電流的能力為5mA〔×〕29.TTL、CMOS門中未使用的輸入端均可懸空〔×〕30.當(dāng)決定事件發(fā)生的所有條件中任一個〔或幾個〕條件成立時,這件事件就會發(fā)生,這種因果關(guān)系稱為與運算?!病痢?1.將代碼狀態(tài)的特點含義"翻譯"出來的過程稱為譯碼。實現(xiàn)譯碼操作的電路稱為譯碼器?!病獭?2.設(shè)計一個3進(jìn)制計數(shù)器可用2個觸發(fā)器實現(xiàn)〔√〕33.移位寄存器除了可以用來存入數(shù)碼外,還可以利用它的移存規(guī)律在一定的X圍內(nèi)構(gòu)成任意模值n的計數(shù)器。所以又稱為移存型計數(shù)器〔√〕34.在優(yōu)先編碼器電路中允許同時輸入2個以上的編碼信號〔√〕35.施密特觸發(fā)器電路具有兩個穩(wěn)態(tài),而多諧振蕩器電路沒有穩(wěn)態(tài)〔√〕36.DRAM需要定期刷新,因此,在微型計算機(jī)中不如SRAM應(yīng)用廣泛〔×〕證明題:1、利用基本定律和運算規(guī)則證明邏輯函數(shù)證明:左邊=右邊原式得證2、利用基本定律和運算規(guī)則證明邏輯函數(shù)證明:左邊====右邊原式得證3、利用基本定律和運算規(guī)則證明邏輯函數(shù)證明:左邊====右邊原式得證4、利用基本定律和運算規(guī)則證明邏輯函數(shù)證明:左邊右邊=左邊原式得證化簡題:1、用卡諾圖化簡函數(shù)解:卡諾圖化簡得:2、用卡諾圖化簡函數(shù)解:卡諾圖化簡得:3、用卡諾圖化簡下列函數(shù)解:卡諾圖:化簡得:4、用卡諾圖化簡具有約束項的邏輯函數(shù)解:卡諾圖化簡得:5、用公式法化簡解:6、用公式法化簡解:簡答題:1、試說明施密特觸發(fā)器的工作特點和主要用途。答:施密特觸發(fā)器的工作特點:電路有兩個穩(wěn)態(tài),是一個雙穩(wěn)態(tài)電路,但這兩個穩(wěn)態(tài)是靠觸發(fā)信號維持的;電路狀態(tài)的翻轉(zhuǎn)由外觸發(fā)信號的電平?jīng)Q定,當(dāng)外加觸發(fā)信號高于上限觸發(fā)電平UT+值時電路處于一種穩(wěn)態(tài),低于下限觸發(fā)電平UT-值時電路處于另一種穩(wěn)態(tài)。電路存在回差特性或叫滯回特性。通常用于波形變換與整形、接口電路、幅度鑒別、和方波發(fā)生器。2、什么叫單穩(wěn)態(tài)觸發(fā)器?單穩(wěn)態(tài)觸發(fā)器和雙穩(wěn)態(tài)觸發(fā)器的區(qū)別是什么?答:具有穩(wěn)態(tài)和暫穩(wěn)態(tài)兩種工作狀態(tài),在外界觸發(fā)脈沖的作用下,能從穩(wěn)態(tài)翻轉(zhuǎn)到暫穩(wěn)態(tài),暫穩(wěn)態(tài)維持一段時間后,再自動返回穩(wěn)態(tài),暫穩(wěn)態(tài)持續(xù)時間由電路參數(shù)決定。雙穩(wěn)態(tài)觸發(fā)器具有兩種穩(wěn)定狀態(tài),外界觸發(fā)脈沖消失后,保持狀態(tài)不變。3、什么是量化單位和量化誤差,減小量化誤差可以從那幾個方面考慮?答:量化過程中所取得最小的數(shù)量單位叫做量化單位。因為模擬電壓是連續(xù)的,就不一定能被量化單位整除,在量化過程中就會引入誤差,稱為量化誤差。減小量化誤差可以將量化電平取在量化X圍的中間值。作圖題:1、555定時器的功能表如下,<1>該555定時器組成什么電路,〔2〕在題中輸出電壓的坐標(biāo)上畫出相應(yīng)的輸出波形。555定時器功能表輸入輸出TH〔uI1〕〔uI2〕uOVTD狀態(tài)0××低導(dǎo)通1<EQ\F<2,3>VCC<EQ\F<1,3>VCC高截止1<EQ\F<2,3>VCC>EQ\F<1,3>VCC不變不變1>EQ\F<2,3>VCC>EQ\F<1,3>VCC低導(dǎo)通1313VCC23VCCuo00uIttDTHOUTVSSCO0.01μFuOuIVCC12345678VCC555解〔1〕該電路組成施密特觸發(fā)器。13V13VCC23VCCuo00uItt2、用74LS161來構(gòu)成一個十二進(jìn)制計數(shù)器。74LS161的功能表如圖所示。解:用異步清零端歸零S12=1100或:用同步置數(shù)端歸零S11=10113、8選1數(shù)據(jù)選擇器CC4512的邏輯功能如表所示,電路符號如圖所示。用CC4512和最少的門電路產(chǎn)生如下邏輯函數(shù),要求變量ABC分別對應(yīng)于A2A1CC4512功能表DISINHA2A1A0Y00000D000001D100010D200011D300100D400101D500110D600111D701×××01××××高阻解:邏輯函數(shù):電路連接圖為:4、555定時器構(gòu)成單穩(wěn)態(tài)觸發(fā)器如圖〔a〕所示,輸入如圖〔b〕。畫出電容電壓uc和輸出波形uo。<b>解:5、用512×4的RAM擴(kuò)展組成一個2K×8位的存儲器.需要幾片RAM,試畫出它們的連接圖,用圖示RAM實現(xiàn)。答:需要8片RAM,同時做字?jǐn)U展和位擴(kuò)展。連接圖如下:6、試用3線—8線譯碼器74LS138和門電路實現(xiàn)下列函數(shù)。Z〔A、B、C〕=AB+CSTSTAY7Y5Y6Y4Y3Y2Y1Y0STCSTBA0A1A274LS138解:Z〔A、B、C〕=AB+C=AB<C+>+C〔B+〕=ABC+AB+BC+C=m1+m3+m6+m7STAY7STAY7Y5Y6Y4Y3Y2Y1Y0STCSTBA0A1A274LS138CBA"1&Z分析題:1、分析下列電路是幾進(jìn)制的計數(shù)器。11解:驅(qū)動方程:輸出方程:特性方程:〔1分〕狀態(tài)方程:狀態(tài)表CP000100011011Q1Q0/Z/1/0/0/0000010101100210110311001狀態(tài)表或狀態(tài)圖任寫一種即可歸納上述分析結(jié)果可知,該時序電路為同步4進(jìn)制加法計數(shù)器。2、分析圖示電路的邏輯功能。要求有明確的分析步驟和過程并畫出時序圖。解:驅(qū)動方程:代入特性方程求狀態(tài)方程:輸出方程:求各觸發(fā)器的次態(tài)和電路輸出,列狀態(tài)轉(zhuǎn)換圖或狀態(tài)轉(zhuǎn)換表時序圖:電路功能:有效循環(huán)的6個狀態(tài)分別是0~5這6個十進(jìn)制數(shù)字的格雷碼,并且在時鐘脈沖CP的作用下,這6個狀態(tài)是按遞增規(guī)律變化的,即:000→001→011→111→110→100→000→…所以這是一個用格雷碼表示的六進(jìn)制同步加法計數(shù)器。當(dāng)對第6個脈沖計數(shù)時,計數(shù)器又重新從000開始計數(shù),并產(chǎn)生輸出Y=1。3、分析圖示電路的邏輯功能。解:此電路為同步時序電路驅(qū)動方程:;輸出方程:T觸發(fā)器的特性方程:將各觸發(fā)器的驅(qū)動方程代入,即得電路的狀態(tài)方程:通過計算得狀態(tài)表或狀態(tài)轉(zhuǎn)換圖:時序圖:電路功能:由狀態(tài)圖可以看出,當(dāng)輸入X=0時,在時鐘脈沖CP的作用下,電路的4個狀態(tài)按遞增規(guī)律循環(huán)變化,即:00→01→10→11→00→…當(dāng)X=1時,在時鐘脈沖CP的作用下,電路的4個狀態(tài)按遞減規(guī)律循環(huán)變化,即:00→11→10→01→00→…可見,該電路既具有遞增計數(shù)功能,又具有遞減計數(shù)功能,是一個2位二進(jìn)制同步可逆計數(shù)器。4、8位A/D輸入滿量程為10V,當(dāng)輸入下列電壓時,

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論