基于51單片機(jī)的全自動(dòng)洗衣機(jī)控制設(shè)計(jì)_第1頁(yè)
基于51單片機(jī)的全自動(dòng)洗衣機(jī)控制設(shè)計(jì)_第2頁(yè)
基于51單片機(jī)的全自動(dòng)洗衣機(jī)控制設(shè)計(jì)_第3頁(yè)
基于51單片機(jī)的全自動(dòng)洗衣機(jī)控制設(shè)計(jì)_第4頁(yè)
基于51單片機(jī)的全自動(dòng)洗衣機(jī)控制設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩44頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

PAGE0《單片機(jī)技術(shù)與應(yīng)用》課程設(shè)計(jì)洗衣機(jī)控制設(shè)計(jì)專業(yè)班級(jí):學(xué)號(hào):姓名:

目錄一、設(shè)計(jì)目的要求 3二、設(shè)計(jì)要目標(biāo) 3三、硬件設(shè)計(jì) 41、系統(tǒng)設(shè)計(jì)流程 41.1系統(tǒng)模塊劃分 52、模塊設(shè)計(jì) 72.1AT89C51單片機(jī)控制模塊的設(shè)計(jì) 72.1.1AT89C51介紹 72.1.2AT89C51單片機(jī)的主要管腳功能 72.2單片機(jī)系統(tǒng)復(fù)位電路 82.3標(biāo)準(zhǔn)時(shí)鐘電路 92.4控制電路設(shè)計(jì) 102.5顯示電路設(shè)計(jì) 102.6數(shù)碼管顯示電路設(shè)計(jì) 112.7水位檢測(cè)電路 132.7.1進(jìn)水控制電路 132.7.2排水控制電路 142.8水位監(jiān)測(cè)模塊 142.9報(bào)警電路設(shè)計(jì) 153.0電機(jī)控制系統(tǒng)設(shè)計(jì) 15四、軟件設(shè)計(jì) 181、系統(tǒng)設(shè)計(jì)流程 181.1系統(tǒng)模塊劃分 182、模塊設(shè)計(jì) 192.1主程序 192.1.1主程序執(zhí)行 192.2三個(gè)運(yùn)行方案程序 202.2.1三個(gè)運(yùn)行方案執(zhí)行 20五、結(jié)果討論 225.1仿真原理圖: 22六、工作總結(jié) 25附錄 26原理圖: 26參考文獻(xiàn) 26

一、設(shè)計(jì)目的要求設(shè)計(jì)要求(1)洗衣機(jī)可選擇多種洗滌狀態(tài),用戶只需要選擇洗滌模式,洗衣機(jī)自動(dòng)選擇洗滌程序,完成洗滌。(2)暫停功能。不管洗衣機(jī)工作在什么狀態(tài),當(dāng)按下暫停鍵時(shí),洗衣機(jī)必須暫停工作,待驅(qū)動(dòng)鍵按下后洗衣機(jī)又能按原來(lái)所選擇的工作方式繼續(xù)工作。(3)聲光顯示功能。洗衣機(jī)各種工作方式的選擇和各種工作狀態(tài)均有聲光提示或顯示。(4)本設(shè)計(jì)包含硬件和軟件設(shè)計(jì)。(5)因?yàn)槭侨詣?dòng)洗衣機(jī),程序?qū)⑼瓿上礈?、脫水的全過(guò)程。(6)脫水完成后,蜂鳴器蜂鳴,通知用戶洗滌完成。二、設(shè)計(jì)要目標(biāo)本系統(tǒng)控制的對(duì)象為套桶式單缸低波輪全自動(dòng)洗衣機(jī),其功能要求如下:1.強(qiáng)、弱洗滌功能。強(qiáng)洗時(shí)正、反轉(zhuǎn)驅(qū)動(dòng)時(shí)間各為4秒,間歇時(shí)間為1秒;標(biāo)準(zhǔn)洗時(shí)正、反轉(zhuǎn)驅(qū)動(dòng)時(shí)間各為3秒,間歇時(shí)間為1秒弱洗洗時(shí)正、反轉(zhuǎn)驅(qū)動(dòng)時(shí)間各為2秒,間歇時(shí)間為1秒2.三種洗衣工作程序,即標(biāo)準(zhǔn)程序強(qiáng)洗程序、弱洗程序和甩干程序。標(biāo)準(zhǔn)程序是進(jìn)水→洗滌→排水→甩干→漂洗→排水→甩干,具體是:第一循環(huán)為洗滌,時(shí)間為20S,第二、第三次循環(huán)為漂洗,時(shí)間分別為20秒。排水時(shí)間采用動(dòng)態(tài)時(shí)間法確定,脫水時(shí)間為20秒。排水程序是排水→脫水→結(jié)束,時(shí)間確定與上述程序相應(yīng)環(huán)節(jié)相同。4.間歇驅(qū)動(dòng)方式。脫水期間采取間歇驅(qū)動(dòng)方式,以便節(jié)能。本系統(tǒng)要求驅(qū)動(dòng)4秒,間歇1秒,間歇期間靠慣性力使脫水桶保持高速旋轉(zhuǎn)。5.通過(guò)led顯示屏顯示時(shí)間。實(shí)現(xiàn)方法:基于上述要實(shí)現(xiàn)軟硬件結(jié)合,考慮到89C51的特點(diǎn),以其作為核心器件,設(shè)計(jì)了全自動(dòng)洗衣機(jī)控制系統(tǒng)。本系統(tǒng)實(shí)現(xiàn)了對(duì)洗衣機(jī)整個(gè)洗衣過(guò)程的控制。包括進(jìn)水、洗滌、漂洗、排水和脫水五個(gè)階段??刂葡到y(tǒng)主要由電源電路、數(shù)字控制電路和機(jī)械控制電路三大模塊組成,電源電路為數(shù)字控制電路提供穩(wěn)定的5V直流電壓;數(shù)字控制電路負(fù)責(zé)控制洗衣機(jī)的工作過(guò)程,主要由89C51單片機(jī)、按鍵、蜂鳴器、LED指示燈組成;機(jī)械控制電路實(shí)現(xiàn)水位檢測(cè)、電機(jī)驅(qū)動(dòng)、進(jìn)水、排水等功能,主要由水位開(kāi)關(guān)、電動(dòng)機(jī)、進(jìn)水排水電磁閥組成。6.聲光顯示功能。洗衣機(jī)各種工作方式的選擇和各種工作狀態(tài)均有聲、光提示或顯示。三、硬件設(shè)計(jì)AT89C511、系統(tǒng)設(shè)計(jì)流程AT89C51蜂鳴器提示蜂鳴器提示按鍵輸入洗衣機(jī)狀態(tài)顯示按鍵輸入洗衣機(jī)狀態(tài)顯示剩余時(shí)間顯示剩余時(shí)間顯示電機(jī)正轉(zhuǎn)電機(jī)正轉(zhuǎn)電機(jī)反轉(zhuǎn)進(jìn)水閥排水閥驅(qū)動(dòng)電路液位驅(qū)動(dòng)電路液位傳感器1.1系統(tǒng)模塊劃分AT89C51報(bào)警電路時(shí)鐘電路AT89C51報(bào)警電路時(shí)鐘電路復(fù)位電路復(fù)位電路進(jìn)水電路進(jìn)水電路按鍵輸入電路按鍵輸入電路排水電路排水電路顯示電路顯示電路電機(jī)控制電路電機(jī)控制電路水位控制電路水位控制電路主控系統(tǒng)是運(yùn)用AT89C51單片機(jī),其控制的對(duì)象包括:進(jìn)水閥、排水閥、驅(qū)動(dòng)電機(jī)、按鍵和LED顯示器件。這些被控制對(duì)象需要根據(jù)不同的洗衣程序來(lái)設(shè)定它們的工作狀態(tài)和時(shí)間,進(jìn)水閥和排水閥的控制還需要檢測(cè)水位,同時(shí)需要數(shù)碼管顯示不同的工作狀態(tài)及運(yùn)行剩余時(shí)間。按鍵用來(lái)控制程序的運(yùn)行和設(shè)置洗滌模式。蜂鳴器用來(lái)進(jìn)行程序結(jié)束提示。⑴單片機(jī)電路:?jiǎn)纹瑱C(jī)電路是控制的中心,它把計(jì)算機(jī)的各種功能電路都集成在一塊芯片上,主要包括中央處理器CPU、程序存儲(chǔ)器ROM、數(shù)據(jù)存儲(chǔ)器RAM、輸入/輸出接口電路及計(jì)時(shí)等電路,ROM內(nèi)已經(jīng)固化了洗衣機(jī)操作程序,單片機(jī)根據(jù)輸入指令和檢測(cè)信號(hào),調(diào)出內(nèi)部相應(yīng)的操作程序,通過(guò)電路處理后輸出各種電路控制信號(hào),使洗衣機(jī)自動(dòng)完成程序操作過(guò)程。(2).復(fù)位電路:在單片機(jī)接上電源以后,若電源出現(xiàn)過(guò)低電壓時(shí),將單片機(jī)存儲(chǔ)器復(fù)位,使其各項(xiàng)參數(shù)處于初始位置。(3)時(shí)鐘電路:由晶振單元與單片機(jī)內(nèi)部電路組成,產(chǎn)生的振蕩頻率為單片機(jī)提供時(shí)鐘信號(hào),供單片機(jī)定時(shí)和計(jì)時(shí)。(4)按鍵輸入電路:①按鍵K1,接RST口,作為“復(fù)位”按鍵;②按鍵K2,接P3.0,作為“程序選擇”按鍵;③按鍵K3,接P3.1,作為“強(qiáng)弱洗選擇”按鍵;④按鍵K4,接P3.2,作為“運(yùn)行/暫?!卑存I。(5)顯示電路:采用LED燈及共陰數(shù)碼管,用以顯示洗衣機(jī)的各種工作方式、狀態(tài)及剩余時(shí)間。(6)水位控制電路:在進(jìn)水期間,系統(tǒng)不斷檢測(cè),當(dāng)?shù)竭_(dá)預(yù)定水位時(shí)就停止進(jìn)水。水位控制電路由傳感器監(jiān)測(cè),其通斷狀態(tài)由電路傳給單片機(jī),由單片機(jī)進(jìn)行指令控制。(7)報(bào)警電路:在洗衣機(jī)工作結(jié)束時(shí),發(fā)出蜂鳴聲提示用戶洗衣完成。完成一次洗衣過(guò)程所需的動(dòng)作有:(1)進(jìn)水動(dòng)作:進(jìn)行洗滌時(shí),盛水桶內(nèi)的水量必須達(dá)到設(shè)定要求。洗衣機(jī)的進(jìn)水和水位判斷,是由水位開(kāi)關(guān)和進(jìn)水閥的開(kāi)合來(lái)進(jìn)行控制的。當(dāng)桶內(nèi)沒(méi)有水或水量達(dá)不到設(shè)定水位時(shí),單片機(jī)程序?qū)⒖刂七M(jìn)水閥打開(kāi),開(kāi)始注水,當(dāng)桶內(nèi)的水位達(dá)到設(shè)定水位時(shí),水位開(kāi)關(guān)受壓閉合,程序就可進(jìn)入下一步處理。(2)洗滌動(dòng)作:洗滌動(dòng)作指的是電機(jī)周期性的“正轉(zhuǎn)-停止-反轉(zhuǎn)-停止”。不同的洗衣過(guò)程,控制電機(jī)執(zhí)行“正轉(zhuǎn)-停止-反轉(zhuǎn)-停止”的時(shí)間是不同的。(3)排水動(dòng)作:進(jìn)入脫水動(dòng)作前應(yīng)先排水。為了避免空排水造成時(shí)間浪費(fèi)以及排水不完而帶水脫水造成對(duì)電機(jī)的損害,洗衣機(jī)適當(dāng)延長(zhǎng)排水時(shí)間。(4)脫水動(dòng)作:排水結(jié)束后進(jìn)入脫水動(dòng)作,脫水是通過(guò)電機(jī)的正反轉(zhuǎn)來(lái)實(shí)現(xiàn)的,同時(shí)要求排水閥一直打開(kāi),也正是由于排水閥的打開(kāi),才使得脫水時(shí)的電機(jī)正轉(zhuǎn)速度不同于洗滌時(shí)的電機(jī)正轉(zhuǎn)速度。進(jìn)行脫水是若遇到洗衣機(jī)蓋打開(kāi),則暫停脫水,并發(fā)出報(bào)警,直至用戶合上桶蓋后,才繼續(xù)進(jìn)行脫水。脫水結(jié)束后,發(fā)出警報(bào),并自動(dòng)關(guān)閉排水閥。2、模塊設(shè)計(jì)2.1AT89C51單片機(jī)控制模塊的設(shè)計(jì)2.1.1AT89C51介紹AT89C51單片機(jī)是一種高效微控制器,也是低功耗高性能單片機(jī)。單片機(jī)是本設(shè)計(jì)的核心主要起控制作用,采用40引腳雙列直插封裝形式,32個(gè)外部雙向輸入/輸出(I/O)端口,同時(shí)內(nèi)含2個(gè)外中斷口,2個(gè)16位可編程定時(shí)計(jì)數(shù)器,2個(gè)全雙工串行通信口,因?yàn)槭芤_數(shù)目的限制,所以有不少引腳具有第二功能。外形及引腳排列如圖所示。2.1.2AT89C51單片機(jī)的主要管腳功能:VCC:供電電壓。GND:接地。P0口:P0口為一個(gè)8位漏級(jí)開(kāi)路雙向I/O口,每腳可吸收8TTL門(mén)電流。當(dāng)P1口的管腳第一次寫(xiě)1時(shí),被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲(chǔ)器,它可以被定義為數(shù)據(jù)/地址的第八位。P1口:P1口是一個(gè)內(nèi)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4TTL門(mén)電流。P1口管腳寫(xiě)入1后,被內(nèi)部上拉為高,可用作輸入,P1口被外部下拉為低電平時(shí),將輸出電流,這是由于內(nèi)部上拉的緣故。P2口:P2口為一個(gè)內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出4個(gè)TTL門(mén)電流,當(dāng)P2口被寫(xiě)1時(shí),其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時(shí),P2口的管腳被外部拉低,將輸出電流。P3口:P3口是8個(gè)帶內(nèi)部上拉電阻的雙向I/O口,可接收輸出4個(gè)TTL門(mén)電流。當(dāng)P3口寫(xiě)入1后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入,由于外部下拉為低電平,P3口將輸出電流(ILL)這是由于上拉的緣故。RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時(shí),要保持RST腳兩個(gè)機(jī)器周期的高電平時(shí)間。ALE/PROG:當(dāng)訪問(wèn)外部存儲(chǔ)器時(shí),地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。在FLASH編程期間,此引腳用于輸入編程脈沖。在平時(shí),ALE端以不變的頻率周期輸出正脈沖信號(hào),此頻率為振蕩器頻率的1/6。因此它可用作對(duì)外部輸出的脈沖或用于定時(shí)目的。PSEN:外部程序存儲(chǔ)器的選通信號(hào)。在由外部程序存儲(chǔ)器取指期間,每個(gè)機(jī)器周期兩次PSEN有效。但在訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的PSEN信號(hào)將不出現(xiàn)。EA/VPP:當(dāng)EA保持低電平時(shí),則在此期間外部程序存儲(chǔ)器(0000H-FFFFH),不管是否有內(nèi)部程序存儲(chǔ)器。注意加密方式1時(shí),EA將內(nèi)部鎖定為RESET;當(dāng)EA端保持高電平時(shí),此間內(nèi)部程序存儲(chǔ)器。在FLASH編程期間,此引腳也用于施加12V編程電源(VPP)。2.2單片機(jī)系統(tǒng)復(fù)位電路復(fù)位電路使單片機(jī)或系統(tǒng)中的其他部件處于某種確定的狀態(tài)。當(dāng)在MCS-51系列單片的RST引腳處引入高電平并保持2個(gè)機(jī)器周期,單片機(jī)內(nèi)部就執(zhí)行復(fù)位操作。復(fù)位操作有兩種基本形式:一種是上電復(fù)位,另一位是按鍵復(fù)位。本設(shè)計(jì)采用按鍵復(fù)位方式。如圖3-4工作原理:當(dāng)按下按鍵時(shí),RST直接與VCC相連,出現(xiàn)2個(gè)以上的高電平形成復(fù)位,同時(shí)電解電容被短路放電;按鍵松開(kāi)時(shí),電容充電,電流流過(guò)電阻,RST為高電平,仍然是復(fù)位,充電完成后,電容相當(dāng)于開(kāi)路,RST為低電平,正常工作。2.3標(biāo)準(zhǔn)時(shí)鐘電路該電路由時(shí)鐘89c51內(nèi)部計(jì)時(shí)器和晶振組成。晶振全稱為晶體振蕩器,其作用在于產(chǎn)生原始的時(shí)鐘頻率,這個(gè)頻率經(jīng)過(guò)頻率發(fā)生器的放大或縮小后就成了電腦中各種不同的總線頻率。晶振一般叫做晶體諧振器,是一種機(jī)電器件,是用電損耗很小的石英晶體經(jīng)精密切割磨削并鍍上電極焊上引線做成。這種晶體有一個(gè)很重要的特性,如果給它通電,它就會(huì)產(chǎn)生機(jī)械振蕩,反之,如果給它機(jī)械力,它又會(huì)產(chǎn)生電,這種特性叫機(jī)電效應(yīng)。他們有一個(gè)很重要的特點(diǎn),其振蕩頻率與他們的形狀,材料,切割方向等密切相關(guān)。由于石英晶體化學(xué)性能非常穩(wěn)定,熱膨脹系數(shù)非常小,其振蕩頻率也非常穩(wěn)定,由于控制幾何尺寸可以做到很精密,因此,其諧振頻率也很準(zhǔn)確。因此我們使用外部振蕩脈沖信號(hào),由XTALl和XTAL2端引腳輸入,其電路圖如圖所示。2.4控制電路設(shè)計(jì)單片機(jī)設(shè)計(jì)中,重要的一個(gè)模塊是按鍵的設(shè)計(jì)。常見(jiàn)的單片機(jī)按鍵設(shè)計(jì)分為獨(dú)立式和行列式(矩陣式)。獨(dú)立式按鍵設(shè)計(jì)簡(jiǎn)單,但占用I/O口較多;行列式按鍵設(shè)計(jì)相對(duì)復(fù)雜,占用I/O口較少。根據(jù)鍵盤(pán)掃描方法,一開(kāi)始單片機(jī)將行線全部置低電平,此時(shí)讀入數(shù)據(jù),若全為高電平,則沒(méi)有鍵按下,當(dāng)有出現(xiàn)低電平時(shí)調(diào)用延時(shí)程序以此來(lái)去除按鍵抖動(dòng)。延時(shí)后再判斷是否有低電平,如果此時(shí)讀入數(shù)據(jù)還是有低電平,則說(shuō)明確實(shí)有鍵按下,最后一步確認(rèn)鍵值。2.5顯示電路設(shè)計(jì)指示燈主要是以光亮指示的方式引起操作者注意或者指示操作者進(jìn)行某種操作,并作為某一種狀態(tài)或指令正在執(zhí)行或已被執(zhí)行的指示。本設(shè)計(jì)用七只發(fā)光二極管作為洗衣機(jī)的指示燈,它是半導(dǎo)體二極管的一種。當(dāng)LED的A極通過(guò)限流電阻連接到8051單片機(jī)的I/O口,K極連接到了GND地線,因此要使LED發(fā)光,也就是使電流流過(guò)LED,只需要把I/O口置成高電平即可,所以最終我們對(duì)LED的控制變成了對(duì)一個(gè)I/O口的控制,比如要點(diǎn)亮標(biāo)號(hào)為“D10”的LED,就是把RC0口設(shè)置成高電平而已,這就是實(shí)現(xiàn)方法。本設(shè)計(jì)中AT89C51單片機(jī)的P2.口到P2.4分別與5個(gè)發(fā)光二極管的陰極相連,發(fā)光二極管的陽(yáng)極接電源。分別指示“洗衣模式”、“洗滌方式”和“工作狀態(tài)”。D2為“標(biāo)準(zhǔn)模式”指示燈,D3為“弱洗模式”指示燈,D4為“漂洗模式”指示燈,D5為“甩干模式”指示燈;2.6數(shù)碼管顯示電路設(shè)計(jì)發(fā)光二極管簡(jiǎn)稱為L(zhǎng)ED,可以把電能轉(zhuǎn)化成光能。發(fā)光二極管與普通二極管一樣是由一個(gè)PN結(jié)組成,也具有單向?qū)щ娦?。?dāng)給發(fā)光二極管加上正向電壓后,從P區(qū)注入到N區(qū)的空穴和由N區(qū)注入到P區(qū)的電子,在PN結(jié)附近數(shù)微米內(nèi)分別與N區(qū)的電子和P區(qū)的空穴復(fù)合,產(chǎn)生自發(fā)輻射的熒光。不同的半導(dǎo)體材料中電子和空穴所處的能量狀態(tài)不同。當(dāng)電子和空穴復(fù)合時(shí)釋放出的能量多少不同,釋放出的能量越多,則發(fā)出的光的波長(zhǎng)越短。常用的是發(fā)紅光、綠光或黃光的二極管。與小白熾燈泡和氖燈相比,發(fā)光二極管的特點(diǎn)是:工作電壓很低(有的僅一點(diǎn)幾伏);工作電流很小(有的僅零點(diǎn)幾毫安即可發(fā)光);抗沖擊和抗震性能好,可靠性高,壽命長(zhǎng);通過(guò)調(diào)制通過(guò)的電流強(qiáng)弱可以方便地調(diào)制發(fā)光的強(qiáng)弱。由于有這些特點(diǎn),發(fā)光二極管在一些光電控制設(shè)備中用作光源,在許多電子設(shè)備中用作信號(hào)顯示器。LED數(shù)碼管根據(jù)LED的接法不同分為共陰和共陽(yáng)兩類,不同類型的數(shù)碼管,除了它們的硬件電路有差異外,編程方法也是不同的。將多只LED的陰極連在一起即為共陰式,而將多只LED的陽(yáng)極連在一起即為共陽(yáng)式。以共陰式為例,如把陰極接地,在相應(yīng)段的陽(yáng)極接上正電源,該段即會(huì)發(fā)光。而LED的電流通常較小,一般均需在回路中接上拉電阻。共陰極LED數(shù)碼管的內(nèi)部結(jié)構(gòu)原理圖所示。為了使LED顯示器顯示數(shù)字或字符,必須對(duì)數(shù)字或字符進(jìn)行編碼。七段數(shù)碼管加上一個(gè)小數(shù)點(diǎn),共計(jì)8段。因此為L(zhǎng)ED顯示器提供的編碼正好是一個(gè)字節(jié)。LED數(shù)碼管真值表如下表0x3f0x060x5b0x4f0x66012340x6d0x7d0x070x7f0x6f56789LED顯示器工作方式有兩種:靜態(tài)顯示方式和動(dòng)態(tài)顯示方式。靜態(tài)顯示的特點(diǎn)是每個(gè)數(shù)碼管的段選必須接一個(gè)8位數(shù)據(jù)線來(lái)保持顯示的字形碼。當(dāng)送入一次字形碼后,顯示字形可一直保持,直到送入新字形碼為止。這種方法的優(yōu)點(diǎn)是占用CPU時(shí)間少,顯示便于監(jiān)測(cè)和控制。缺點(diǎn)是硬件電路比較復(fù)雜,成本較高。動(dòng)態(tài)顯示的特點(diǎn)是將所有位數(shù)碼管的段選線并聯(lián)在一起,由位選線控制是哪一位數(shù)碼管有效。選亮數(shù)碼管采用動(dòng)態(tài)掃描顯示。動(dòng)態(tài)顯示的亮度比靜態(tài)顯示要差一些,所以在選擇限流電阻時(shí)應(yīng)略小于靜態(tài)顯示數(shù)碼管顯示模塊。但為了減少硬件開(kāi)銷(xiāo),提高系統(tǒng)可靠性并降低成本,單片機(jī)控制系統(tǒng)通常采用動(dòng)態(tài)掃描顯示。本設(shè)計(jì)選用的是7seg-mpx2-cc共陰數(shù)碼管,用以顯示顯示洗衣工作的剩余時(shí)間。單片機(jī)的P39~P33口分別接數(shù)碼管的A~G口.P2.7~P2.8口分別接數(shù)碼管的1、2口,分別控制第一片和第二片的暗亮。外加上拉電阻加強(qiáng)驅(qū)動(dòng)能力,使數(shù)碼管更亮。數(shù)碼管顯示電路圖如圖所示。2.7水位檢測(cè)電路2.7.1進(jìn)水控制電路水位開(kāi)關(guān)和繼電器是全自動(dòng)洗衣機(jī)中比較重要而結(jié)構(gòu)又相對(duì)簡(jiǎn)單的兩個(gè)器件。它們一般都在直流低壓下工作,是電子程控器的兩個(gè)輸入端,只有判定它們處于正確的閉合狀態(tài),程序才可以正常地向下運(yùn)行,完成正常的操作。洗衣機(jī)的進(jìn)出水控制由兩個(gè)繼電器配合電控水龍頭完成,設(shè)計(jì)中電控水閥共2只,一只為進(jìn)水閥,受P2.2控制;另一只為排水閥,受P2.3控制。當(dāng)進(jìn)水閥的控制端,即三極管Q2的基極為高電平時(shí),閥門(mén)打開(kāi),開(kāi)始進(jìn)水;當(dāng)進(jìn)水閥的控制端,即三極管Q2的基極為低電平時(shí),閥門(mén)關(guān)閉,進(jìn)水完成。進(jìn)水控制電路圖如圖所示。2.7.2排水控制電路當(dāng)洗滌步驟完成后,排水閥的控制端,即三極管Q3的基極為低電平時(shí),閥門(mén)打開(kāi),開(kāi)始排水;當(dāng)排水閥的控制端,即三極管Q2的基極為高電平時(shí),閥門(mén)關(guān)閉,排水完成。排水控制電路圖如圖所示。2.8水位監(jiān)測(cè)模塊洗衣機(jī)的水位監(jiān)測(cè)模塊實(shí)際上是一個(gè)壓力檢測(cè)裝置。它由導(dǎo)管、浮子、波紋膜片、繼電器等組成,導(dǎo)管與洗衣桶相連,導(dǎo)管中的水位就是洗衣桶內(nèi)的水位,在放水或進(jìn)水的過(guò)程中。當(dāng)水注入洗衣桶后,導(dǎo)管口很快被封閉,隨水位上升,導(dǎo)管內(nèi)的水位也上升,被封閉的空氣壓強(qiáng)亦增大,水位開(kāi)關(guān)中的波紋膜片受壓而脹起,推動(dòng)頂桿運(yùn)動(dòng)而使觸點(diǎn)改變,從而實(shí)現(xiàn)自動(dòng)通斷。全自動(dòng)洗衣機(jī)氣壓式水位開(kāi)關(guān)(以下簡(jiǎn)稱水位開(kāi)關(guān))的兩組觸頭分別與程控器以及相關(guān)電路配合,共同完成洗滌、脫水等洗衣工序。水位開(kāi)關(guān)中的兩組觸頭的動(dòng)作分別對(duì)應(yīng)兩個(gè)水位值。洗衣機(jī)接水桶內(nèi)水位的變化,通過(guò)一段密封的連接導(dǎo)管,引致水位開(kāi)關(guān)中導(dǎo)管的氣壓改變,繼而轉(zhuǎn)換成觸頭的動(dòng)作。觸頭的動(dòng)作控制繼電器接通產(chǎn)生一個(gè)電平值,傳送給單片機(jī),進(jìn)而控制進(jìn)﹑排水閥的工作狀態(tài)。2.9報(bào)警電路設(shè)計(jì)在洗衣機(jī)運(yùn)行中起提示的作用。根據(jù)程序安排和軟件設(shè)置,當(dāng)洗衣完成后,洗衣機(jī)將發(fā)出蜂鳴聲以提示用戶洗衣完成。本設(shè)計(jì)采用電磁式蜂鳴器,蜂鳴器由振蕩器、電磁線圈、磁鐵、振動(dòng)膜片及外殼等組成。接通電源后,振蕩器產(chǎn)生的音頻信號(hào)電流通過(guò)電磁線圈,使電磁線圈產(chǎn)生磁場(chǎng)。振動(dòng)膜片在電磁線圈和磁鐵的相互作用下,周期性地振動(dòng)發(fā)聲。本設(shè)計(jì)中的蜂鳴器由P2.7控制,當(dāng)P2.7輸出為“1”(脫水完成后)時(shí),洗衣機(jī)的蜂鳴器發(fā)聲,提示用戶洗衣過(guò)程完成。其電路如圖所示。3.0電機(jī)控制系統(tǒng)設(shè)計(jì)電動(dòng)機(jī)也稱電機(jī),它的主要作用是產(chǎn)生驅(qū)動(dòng)力矩,作為用電器或小型機(jī)械的動(dòng)力源。交流異步電動(dòng)機(jī)是領(lǐng)先交流電壓運(yùn)行的電動(dòng)機(jī),廣泛應(yīng)用于電風(fēng)扇、電冰箱、洗衣機(jī)、空調(diào)器、食品加工機(jī)等家用電器及各種電動(dòng)工具、小型機(jī)電設(shè)備中。單相交流電動(dòng)機(jī)只有一個(gè)繞組,轉(zhuǎn)子是鼠籠式的。當(dāng)單相正弦電流通過(guò)定子繞組時(shí),電動(dòng)機(jī)就會(huì)產(chǎn)生一個(gè)交變磁場(chǎng),這個(gè)磁場(chǎng)的強(qiáng)弱和方向隨時(shí)間作正弦規(guī)律變化,但在空間方位上是固定的,所以又稱這個(gè)磁場(chǎng)是交變脈動(dòng)磁場(chǎng)。這個(gè)交變脈動(dòng)磁場(chǎng)可分解為兩個(gè)以相同轉(zhuǎn)速、旋轉(zhuǎn)方向互為相反的旋轉(zhuǎn)磁場(chǎng),當(dāng)轉(zhuǎn)子靜止時(shí),這兩個(gè)旋轉(zhuǎn)磁場(chǎng)在轉(zhuǎn)子中產(chǎn)生兩個(gè)大小相等、方向相反的轉(zhuǎn)矩,使得合成轉(zhuǎn)矩為零,所以電動(dòng)機(jī)無(wú)法旋轉(zhuǎn)。當(dāng)我們用外力使電動(dòng)機(jī)向某一方向旋轉(zhuǎn)時(shí),這時(shí)轉(zhuǎn)子與順時(shí)針旋轉(zhuǎn)方向的旋轉(zhuǎn)磁場(chǎng)間的切割磁力線運(yùn)動(dòng)變小;轉(zhuǎn)子與逆時(shí)針旋轉(zhuǎn)方向的旋轉(zhuǎn)磁場(chǎng)間的切割磁力線運(yùn)動(dòng)變大。這樣平衡就打破了,轉(zhuǎn)子所產(chǎn)生的總的電磁轉(zhuǎn)矩將不再是零,轉(zhuǎn)子將順著推動(dòng)方向旋轉(zhuǎn)起來(lái)。洗衣機(jī)里的機(jī)動(dòng)機(jī)是電容式單相異步電動(dòng)機(jī),電容式單相異步電動(dòng)機(jī)有原理是在啟動(dòng)繞組上串聯(lián)一個(gè)適量的電容器,由于電容器的電流滯后于它的電壓90度,所以會(huì)在定子上產(chǎn)生一個(gè)偏轉(zhuǎn)磁場(chǎng),使電動(dòng)機(jī)旋轉(zhuǎn)。本洗衣機(jī)的控制電路中單片機(jī)通過(guò)L298電機(jī)電機(jī)驅(qū)動(dòng)芯片直接驅(qū)動(dòng)單相異步交流電機(jī)。L298通過(guò)單片機(jī)的I/O輸入改變芯片控制端的電平,即可以對(duì)電機(jī)進(jìn)行正反轉(zhuǎn),停止的操作。輸入引腳與輸出引腳的邏輯關(guān)系如表

ENAIN1IN2電機(jī)狀態(tài)0XX停止100停止101反轉(zhuǎn)110正轉(zhuǎn)111剎車(chē)由表可知當(dāng)EnA為低電平時(shí),輸入電平對(duì)電機(jī)控制不起作用;當(dāng)EnA為高電平,輸入電平為一高一低,電機(jī)正或反轉(zhuǎn)。同為低電平電機(jī)停止,同為高電平電機(jī)剎停。L298控制電機(jī)的正反轉(zhuǎn),完成最基本的洗滌、漂洗、脫水功能。電動(dòng)機(jī)有2個(gè)控制端,一端控制電動(dòng)機(jī)正向運(yùn)轉(zhuǎn),該端與L298的OUT1相連;另一端控制電動(dòng)機(jī)反向運(yùn)轉(zhuǎn),與OUT2相連。L298的使能端接的地,因此IN1~IN4開(kāi)關(guān)全開(kāi)。在整個(gè)洗衣過(guò)程中,程序會(huì)不斷判斷洗衣機(jī)的強(qiáng)弱洗模式,從而不斷調(diào)整電機(jī)轉(zhuǎn)動(dòng)。電動(dòng)機(jī)控制原理圖如圖所示。四、軟件設(shè)計(jì)1、系統(tǒng)設(shè)計(jì)流程整個(gè)系統(tǒng)的功能是由硬件電路配合軟件來(lái)實(shí)現(xiàn)的,當(dāng)硬件基本定型的時(shí)候軟件也基本定下了,從軟件的功能不同,可以分為兩的類:一是監(jiān)控軟件(主程序)它是整個(gè)軟件的核心,專門(mén)用來(lái)協(xié)調(diào)各個(gè)執(zhí)行模塊和操作者的聯(lián)系。二是執(zhí)行軟件(子程序)它是用來(lái)完成各種實(shí)質(zhì)性的工作的,如測(cè)量、計(jì)算、顯示、通訊等。每一個(gè)執(zhí)行軟件就是一個(gè)小的執(zhí)行模塊,這里將每一個(gè)模塊一一列出來(lái),并為每個(gè)執(zhí)行模塊進(jìn)行功能定義和接口定義。各執(zhí)行模塊規(guī)劃好以后,就可以規(guī)劃監(jiān)控軟件了。首先要根據(jù)系統(tǒng)的總體功能選擇一種最合適的監(jiān)控程序結(jié)構(gòu),然后根據(jù)實(shí)時(shí)性的條件,合理安排監(jiān)控軟件和執(zhí)行軟件之間的調(diào)度關(guān)系。1.1系統(tǒng)模塊劃分主程序函數(shù)主程序函數(shù)三種方案程序三種方案程序進(jìn)水程序報(bào)警程序甩干程序漂洗程序排水程序標(biāo)準(zhǔn)洗程序弱洗程序強(qiáng)洗程序進(jìn)水程序報(bào)警程序甩干程序漂洗程序排水程序標(biāo)準(zhǔn)洗程序弱洗程序強(qiáng)洗程序2、模塊設(shè)計(jì)軟件在硬件平臺(tái)上構(gòu)筑,完成各部分硬件的控制和協(xié)調(diào)。系統(tǒng)功能是由軟硬件共同實(shí)現(xiàn)的,由于軟件的可伸縮性,最終實(shí)現(xiàn)的系統(tǒng)功能可強(qiáng)可弱,差別可能很大。軟件采用模塊化設(shè)計(jì)方法,不僅易于編程和調(diào)試,也可減小軟件故障率和提高軟件的可靠性。同時(shí),對(duì)軟件進(jìn)行全面測(cè)試也是檢驗(yàn)錯(cuò)誤排除故障的重要手段。由于編程多涉及到數(shù)值運(yùn)算,比較復(fù)雜,還有LCD燈的顯示設(shè)計(jì)都是需要多重選擇判斷,用我們平時(shí)常用的匯編語(yǔ)言編程是很難實(shí)現(xiàn)的,這里我們選用了移值性好、結(jié)構(gòu)清晰、能進(jìn)行復(fù)雜運(yùn)算的C語(yǔ)言來(lái)實(shí)現(xiàn)編程。開(kāi)始2.1主程序開(kāi)始初始化初始化甩干按弱按標(biāo)按下強(qiáng)洗甩干按弱按標(biāo)按下強(qiáng)洗否是是是是甩干方案三方案二方案一甩干方案三方案二方案一結(jié)束結(jié)束2.1.1主程序執(zhí)行主程序主要是進(jìn)行初始化,洗滌模式方案一,方案二,方案三和手動(dòng)甩干的選擇,完成各部分硬件的控制和協(xié)調(diào)。2.2三個(gè)運(yùn)行方案程序開(kāi)始開(kāi)始甩干20秒甩干20秒進(jìn)水進(jìn)水水位達(dá)到漂洗2水位達(dá)到漂洗20秒否是甩干20秒甩干20秒f-qiang()20秒報(bào)警報(bào)警排水排水結(jié)束排完結(jié)束排完2.2.1三個(gè)運(yùn)行方案執(zhí)行主程序選擇完執(zhí)行方案之后就開(kāi)始按方案執(zhí)行,三個(gè)方案在執(zhí)行過(guò)程中都大同小異,都是先執(zhí)行進(jìn)水程序,液位傳感器感性到水位達(dá)到之后(在模擬電路圖要手動(dòng)的對(duì)液位傳感器滑動(dòng)變阻器的調(diào)節(jié))就開(kāi)始執(zhí)行洗滌程序,方案一是強(qiáng)洗,洗滌時(shí)正轉(zhuǎn)4秒,停一秒,再反轉(zhuǎn)4秒,連續(xù)執(zhí)行20秒,在方案二中正轉(zhuǎn)反轉(zhuǎn)執(zhí)行3秒,是執(zhí)行標(biāo)準(zhǔn)洗滌方式,方案三是執(zhí)行弱洗,洗滌時(shí)間為正反轉(zhuǎn)各為2秒,在現(xiàn)實(shí)中20秒當(dāng)然不現(xiàn)實(shí),在這里設(shè)置成20秒是為了節(jié)省演示模擬的時(shí)間。洗滌完之后進(jìn)行排水,排水的結(jié)束通過(guò)調(diào)節(jié)液位傳感器的滑動(dòng)變阻器完成結(jié)束排水,排水完成之后自動(dòng)進(jìn)入甩干,甩干的時(shí)間為20秒,甩干完成之后自動(dòng)進(jìn)入漂洗,漂洗的時(shí)間為20秒,漂洗完成之后甩干20秒,甩干完成之后報(bào)警提示。在每個(gè)程序執(zhí)行的時(shí)候都有對(duì)應(yīng)的LED燈進(jìn)行顯示,時(shí)間有LED顯示,以實(shí)現(xiàn)人機(jī)交互。

五、結(jié)果討論5.1仿真原理圖:進(jìn)水:洗滌:洗滌完排水:漂洗:甩干:六、工作總結(jié)主要是以AT89C51單片機(jī)為核心的,對(duì)洗衣機(jī)進(jìn)行了簡(jiǎn)單的設(shè)計(jì)與闡述。本次設(shè)計(jì)可以說(shuō)是軟硬結(jié)合,又以硬件為主,軟件為輔。當(dāng)今科技發(fā)展迅速,單片機(jī)嵌入式開(kāi)發(fā)有著光明的前景。由于單片機(jī)具有經(jīng)濟(jì)實(shí)用、開(kāi)發(fā)簡(jiǎn)便等特點(diǎn),所以依然在工業(yè)控制、家電等領(lǐng)域占據(jù)廣泛的市場(chǎng)。所以我選擇這樣的課程設(shè)計(jì)課題,并且能通過(guò)此次設(shè)計(jì)來(lái)提高自己軟件編制和硬件電路設(shè)計(jì)的能力。在我完成這次畢業(yè)設(shè)計(jì)的過(guò)程中,當(dāng)看到自己將專業(yè)知識(shí)用于解決實(shí)際的問(wèn)題時(shí),那份成就感和喜悅感是難以形容的。但是,在實(shí)際的編程以及調(diào)試程序過(guò)程中,我發(fā)現(xiàn)自己應(yīng)該學(xué)的東西太多太多。光靠自己在書(shū)本上所學(xué)過(guò)的這點(diǎn)知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,真正地認(rèn)識(shí)到了工作就是學(xué)習(xí)的道理。由于我以前對(duì)51單片機(jī)的C語(yǔ)言沒(méi)有認(rèn)真鉆研過(guò),所以感覺(jué)課程設(shè)計(jì)的任務(wù)十分緊迫。通過(guò)對(duì)本系統(tǒng)的設(shè)計(jì),我學(xué)習(xí)到了硬件開(kāi)發(fā)和軟件開(kāi)發(fā)的基本流程并有了一定的駕御此開(kāi)發(fā)過(guò)程的能力。編程的過(guò)程中,雖然不乏辛苦,但更多的是程序調(diào)試成功后的喜悅。總之,這次課程設(shè)計(jì)對(duì)我來(lái)說(shuō)是一次比較全面的、富有創(chuàng)造性和探索性的鍛煉,完成了我選題時(shí)的心愿。令我深有感觸,對(duì)于我今后的學(xué)習(xí)、工作和生活都將是受益非淺的。附錄原理圖:參考文獻(xiàn)[1]張永嵐.單片機(jī)應(yīng)用技術(shù).北京:電子工業(yè)出版社,2009[2]劉守義.單片機(jī)高等教程.北京:北京航空航天大學(xué)出版社,2000[3]何立民.單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì).北京:北京航空航天大學(xué)出版社,2001[4]熊建云.Protel99seEDA技術(shù)及應(yīng)用.北京:機(jī)械工業(yè)出版社,2007[5]華永平.電子線路課程設(shè)計(jì).南京:東南大學(xué)出版社,2006[6]林春方.電子線路學(xué)習(xí)指導(dǎo)與實(shí)訓(xùn).北京:電子工業(yè)出版社,2004[7]楊寶清.實(shí)用電路手冊(cè).北京:機(jī)械工業(yè)出版社,2002[8]張存禮.電子技術(shù)綜合實(shí)訓(xùn).北京:北京師范大學(xué)出版社,[9]向騫.全自動(dòng)洗衣機(jī)原理與維修[M].福建科技技術(shù)出版社,2000[10]李華.MCS-51系列單片機(jī)實(shí)用接口技術(shù)[M].北京:北京航空航天大學(xué)出版社,2000[11]王成安童建華.電子技術(shù)基本技能綜合訓(xùn)練[M].北京:人民郵電出版社,2005[12]李圣良.基于MCS-51單片機(jī)的洗衣控制系統(tǒng)[J].兵工自動(dòng)化2006,3:77~79[13]蔣浩.,孔飄紅.電機(jī)轉(zhuǎn)速控制方法[J].中國(guó)測(cè)試技術(shù),2003(3):34~59[14]江雪山.智能洗衣機(jī)控制電路[J].家庭電子,2006(2):22~26[15]趙貴顧,雷英豪.時(shí)鐘控制器[J].新穎實(shí)用電路,2004(8):12~14程序:#include<REG51.H>#defineucharunsignedchar#defineuintunsignedint#defineqiang_ledonqiang_led=1;#defineqiang_ledoffqiang_led=0;#definebiao_ledonbiao_led=1;#definebiao_ledoffbiao_led=0;#defineruo_ledonruo_led=1;#defineruo_ledoffruo_led=0;#definepiao_ledonpiao_led=1;#definepiao_ledoffpiao_led=0;#definesgan_ledonsgan_led=1;#definesgan_ledoffsgan_led=0;#definejin_ledonjin_led=1;#definejin_ledoffjin_led=0;#definepai_ledonpai_led=1;#definepai_ledoffpai_led=0;unsignedcharcodeTab[11]={0x3F,0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07,0x7F,0x6F};//數(shù)碼管顯示0~9的段碼表unsignedcharint_time;//記錄中斷次數(shù)unsignedcharsecond;//儲(chǔ)存秒uintt=0,tt=0;sbitk1=P0^0;sbitk2=P0^1;sbitk3=P0^2;sbitsg=P0^3;sbithk1=P0^4;sbithk2=P0^5;sbitledon=P0^7;sbitqiang_led=P2^0;sbitbiao_led=P2^1;sbitruo_led=P2^2;sbitpiao_led=P2^3;sbitsgan_led=P2^4;sbitjin_led=P2^5;sbitpai_led=P2^6;sbitp30=P3^0;sbitp31=P3^1;sbitbeepon=P2^7;sbitsm1=P3^6;sbitsm2=P3^7;voiddelay2(void){unsignedcharm;for(m=0;m<200;m++);}voiddelay1(ints){inti;for(;s>0;s--)for(i=0;i<65;i++);}voiddelay(uinti){ucharj;for(i;i>0;i--)for(j=255;j>0;j--);}/*************************顯示程序***************************/ voidDisplaySecond(unsignedchark){sm1=0;//P3.6引腳輸出低電平,DS6點(diǎn)亮P1=Tab[k/10];//顯示十位delay2();delay2();sm1=1;sm2=0;//P3.7引腳輸出低電平,DS7點(diǎn)亮P1=Tab[k%10];//顯示個(gè)位delay2();delay2();P3=0xff;//關(guān)閉所有數(shù)碼管P1=1;//顯示個(gè)位delay2();delay2();} /*******************報(bào)警程序*********************/voidbeep(){ p30=0;p31=0; t=0; while(1) { beepon^=1;delay(300);if(t>=80)break; } beepon=0; biao_led=0;}/*****************強(qiáng)洗程序********************/ voidf_qiang(){ qiang_ledon; t=0; tt=0; int_time=0;second=00; while(1){ if(tt>=400)break;t=0; while(t<80&&tt<=400) { p31=0; p30=1; //正轉(zhuǎn)4sDisplaySecond(second); } t=0; //反轉(zhuǎn)4s while(t<80&&tt<=400) { p30=0; p31=1; DisplaySecond(second); }} p30=0; p31=0; } /*******************標(biāo)準(zhǔn)洗程序***********************/voidf_biao() { qiang_led=0;biao_led=1; t=0; tt=0;int_time=0;second=00; while(1){ if(tt>=400)break; t=0; while(t<60&&tt<=400) { p31=0; p30=1; //正轉(zhuǎn)3sDisplaySecond(second);} t=0; //反轉(zhuǎn)3s while(t<60&&tt<=400){ p30=0; p31=1; DisplaySecond(second); }}p30=0; p31=0; } /******************弱洗程序********************/voidf_ruo(){ qiang_led=0; biao_led=0; ruo_led=1; t=0; tt=0;int_time=0;second=00; while(1){ if(tt>=400)break; t=0; while(t<40&&tt<=400){ p31=0; p30=1; //正轉(zhuǎn)2sDisplaySecond(second); } t=0; //反轉(zhuǎn)2s while(t<40&&tt<=400){p30=0; p31=1; DisplaySecond(second); }} p30=0; p31=0;} /********************漂洗程序***********************/voidf_piao() { qiang_ledoff; biao_ledoff; piao_ledon; t=0; tt=0;int_time=0;second=00; while(1){ if(tt>=400)break; t=0; while(t<40&&tt<=400){ p31=0; p30=1; //正轉(zhuǎn)2sDisplaySecond(second); } t=0; //反轉(zhuǎn)2s while(t<40&&tt<=400){p30=0; p31=1; DisplaySecond(second); }} p30=0;p31=0; piao_ledoff; } /**********************排水程序**************************/voidpai_leds(){ p30=0; p31=0; pai_led=1; //排水閥燈亮等待霍爾開(kāi)關(guān)2 while(hk2); if(hk2==0)//如果閉合 pai_led=0; //排水燈滅} /**********************進(jìn)水程序**************************/voidjin(){ p30=0; p31=0; jin_ledon; while(hk1); if(hk1==0) jin_ledoff;} /************************甩干程序***************************/voidsgan(){ sgan_ledon; //甩干燈亮 t=0;int_time=0;second=00; while(t<=400){ p31=0; p30=1; DisplaySecond(second); }sgan_ledoff;} /**********************手動(dòng)甩干程序***************************/ voidsgan1(){ sgan_ledon; t=0;int_time=0;second=00; while(t<=400){ p31=0; p30=1; DisplaySecond(second); }piao_ledoff;sgan_ledoff;beep(); t=401;}/*********************方案一程序************************/voidf_an1(){ qiang_ledon;//方案1燈亮 jin();//進(jìn)入強(qiáng)洗 f_qiang(); //循環(huán)20S delay1(4000);//延時(shí)4s pai_leds(); delay1(1000);//延時(shí)1S進(jìn)入甩干 sgan();//甩干20s sgan_ledoff; jin(); delay1(1000);//延時(shí)1S進(jìn)入漂洗 f_piao();//循環(huán)20S sgan_ledoff; piao_ledoff; pai_leds(); delay1(1000);//延時(shí)

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論