第十六章電路分析_第1頁
第十六章電路分析_第2頁
第十六章電路分析_第3頁
第十六章電路分析_第4頁
第十六章電路分析_第5頁
已閱讀5頁,還剩18頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、第十六章 電路分析16.1 什么是電路分析電路分析可以計(jì)算源電壓和源電流在電路中引起的電壓和電流分布。分析方法由源的類型來決定:源的類型分析方法交流(AC)諧波分析 直流(DC)靜態(tài)分析 隨時(shí)間變化瞬態(tài)分析要在電磁學(xué)分析中用有限元來模擬全部電勢(shì),就必須提供足夠的靈活性來模擬載流電磁設(shè)備。ANSYS程序?qū)τ陔娐贩治鲇腥缦滦阅埽河媒?jīng)過改進(jìn)的基于節(jié)點(diǎn)的分析方法來模擬電路分析可以將電路與繞線圈和塊狀導(dǎo)體直接耦合2-D和3-D模型都可以進(jìn)行耦合分析支持直流、交流和時(shí)間瞬態(tài)模擬ANSYS程序中先進(jìn)的電路耦合模擬功能精確地模擬多種電子設(shè)備,:螺線管線圈變壓器交流機(jī)械16.2 使用CIRCU124單元ANSY

2、S提供一種通用電路單元CIRCU124對(duì)線性電路進(jìn)行模擬,該單元求解未知的節(jié)點(diǎn)電壓(在有些情況下為電流)。電路由各種部件組成,如電阻、電感、互感、電容、獨(dú)立電壓源和電流源、受控電壓源和電流源等,這些元件都可以用CIRCU124單元來模擬。注:本章只描述CIRCU124單元的某些最重要的特性,對(duì)該單元的詳細(xì)描述參見ANSYS單元手冊(cè)。16.2.1 可用CIRCU124單元模擬的電路元件對(duì)CIRCU124單元通過設(shè)置KEYOPT(1)來確定該單元模擬的電路元件,如下表所示。例如,把KEYOPT(1)設(shè)置為2,就可用CIRCU124來模擬電容。對(duì)所有的電路元件,正向電流都是從節(jié)點(diǎn)I流向節(jié)點(diǎn)J。表1C

3、IRCU124單元能模擬的電路元件電路元件及其圖形標(biāo)記KEYOPT(1)設(shè)置實(shí)常數(shù)電阻(R)0R1=電阻(RES)電感(L)1R1=電感(IND)R2=起始電感電流(ILO)電容(C)2R1=電容(CAP)R2=起始電容電壓(VCO)互感(K)8R1=初級(jí)電感(IND1)R2=次級(jí)電感(IND2)R3=耦合系數(shù)(K)電壓控制電流源(G)9R1=互導(dǎo)(GT)電流控制電流源(F)12R1=電流增益(AI)電壓控制電壓源(E)10R1=電壓增益(AV)電流控制電壓源(H)11R1=互阻(RT)繞線圈電流源(N)5R1=系數(shù)(SCAL)2D塊狀導(dǎo)體電壓源(M)6R1=系數(shù)(SCAL)3D塊狀導(dǎo)體電壓源

4、(P)7R1=系數(shù)(SCAL)注意:全部的電路選項(xiàng)如上表和下圖圖1所示,ANSYS的電路建模程序自動(dòng)生成下列實(shí)常數(shù):R15(圖形偏置,GOFFST)和R16(單元識(shí)別號(hào),ID)。本章下一節(jié)將詳細(xì)討論電路建模程序。下圖顯示了利用不同的KEYOPT(1)設(shè)置建立的不同電路元件,那些靠近元件標(biāo)志的節(jié)點(diǎn)是“浮動(dòng)”節(jié)點(diǎn)(即它們并不直接連接到電路中)。16.2.2 CIRCU124單元的載荷類型對(duì)于獨(dú)立電流源和獨(dú)立電壓源可用CIRCU124單元KEYOPT(2)選項(xiàng)來設(shè)置激勵(lì)形式,可以定義電流或電壓的正弦、脈沖、指數(shù)或分段線性激勵(lì)。詳細(xì)的載荷函數(shù)圖和相應(yīng)的實(shí)常數(shù)請(qǐng)參見ANSYS單元手冊(cè)。16.2.3 將F

5、EA(有限元)區(qū)耦合到電路區(qū)可將電路分析的三種元件耦合到FEA區(qū),圖2所示的這三種元件直接連接到有限元模型的導(dǎo)體上(耦合是在矩陣中進(jìn)行耦合的,因此只能為線性的):在絞線圈連接中不能存在渦流,磁矢勢(shì)(MVP)和電流決定線圈電壓,連接的電路方程為:上述方程中,Rc為線圈電阻,nc為匝數(shù),Sc為線圈橫截面積在塊導(dǎo)體連接中可以考慮集膚效應(yīng),導(dǎo)體中的MVP和電壓決定總電流,連接的電路方程為: 上述方程中,LC是導(dǎo)體長度,V是電壓降。ANSYS程序通過電路元件和FEA導(dǎo)體單元上兩個(gè)附加的自由度來達(dá)到耦合的目的,這些自由度特性如下:CURR流過電路和模型導(dǎo)體的電流EMF模型導(dǎo)體(2D絞線圈、2D塊導(dǎo)體和3D

6、線圈導(dǎo)體)的電壓降VOLT3D塊狀導(dǎo)體內(nèi)的電位16.3 使用CIRCU125單元可以用CIRCU125單元為通用二極管和齊納二極管建模。使用此單元時(shí),請(qǐng)注意:在二極管任何狀態(tài)下,其I-U曲線的分段線性特性對(duì)應(yīng)于一個(gè)Norton 等效電路,這個(gè)等效電路有一個(gè)動(dòng)態(tài)阻抗(在工作點(diǎn)反向傾斜)和一個(gè)電流源(在I-U曲線的切線和I軸相交)。如果電壓降比二極管(通常是理想二極管)的導(dǎo)通電壓低很多,則在提取由單元misc記錄號(hào)提供的單元電壓降、電流、焦耳熱損耗計(jì)算數(shù)據(jù)時(shí)會(huì)提示有取消錯(cuò)誤。要獲得更準(zhǔn)確的結(jié)果,需要通過提取單元的反力來獲得單元電流,并根據(jù)二極管狀態(tài)和I-U曲線重新計(jì)算電壓??梢栽诤筇幚砥髦挟嫸O管

7、的能量和狀態(tài)圖若AUTOTS打開,則按照標(biāo)準(zhǔn)的ANSYS自動(dòng)時(shí)間步長功能來確定求解時(shí)間步長。程序根據(jù)動(dòng)態(tài)系統(tǒng)的特征值來估計(jì)時(shí)間步長。當(dāng)狀態(tài)變化方向是按照預(yù)期估計(jì)的方向進(jìn)行,則單元會(huì)發(fā)出調(diào)小時(shí)間步的信號(hào),與接觸單元間隙閉合類似。CIRCU125單元是高度非線性單元。要獲得收斂結(jié)果,通常需要定義收斂標(biāo)準(zhǔn),而不是僅用缺省值。用CNVTOL,VOLT,0.001,2,1.0E-6來改變收斂標(biāo)準(zhǔn)。16.4 使用電路建模程序?qū)τ谒须娐贩治?,首先需要用CIRCU124, CIRCU125, TRANS126, COMBIN14, COMBIN39,和MASS21單元來建立電路模型。建立電路模型的首選是使用

8、ANSYS的電路建模程序,這是一個(gè)通過ANSYS圖形用戶界面(GUI)提供交互式處理的專用模塊,它可以完成如下功能:可以用鼠標(biāo)來選擇電路元件并把它們放置在電路中所要求的位置交互式建立電路模型給電路元件賦予“實(shí)”常數(shù)并進(jìn)行編輯給獨(dú)立源賦予激勵(lì)以圖形的方式驗(yàn)證所加激勵(lì)以交互的方式來和FEA區(qū)進(jìn)行連接可對(duì)電壓源和電流源元件定義源載荷電路建模程序可生成單元類型、實(shí)常數(shù)、定義節(jié)點(diǎn)和單元。支持多種單元類型。和使用其他GUI特性一樣,電路建模程序把用于建立電路模型的全部命令都寫入記錄文件(LOG文件)。GUI提供專用的“wire element”選項(xiàng)??梢苑奖愕挠谩皐ire”連接各個(gè)電路。Wire表示連接兩

9、點(diǎn)間的一小段短電路(導(dǎo)電率無窮大)。MESH200單元只用來進(jìn)行可視化的表示。Wire兩端的節(jié)點(diǎn)要進(jìn)行電壓耦合(CP命令),如果兩段或更多段Wire連接在一起,則所有的節(jié)點(diǎn)都要進(jìn)行電壓耦合。刪除其中的一段,則所有連接在一起的Wire單元、節(jié)點(diǎn)耦合集以及所有沒有與非“Wire”單元連接的節(jié)點(diǎn)都要被自動(dòng)強(qiáng)行刪除。16.4.1 建立電路為了建立電路,應(yīng)激活A(yù)NSYS 的GUI和使用下面描述的步驟。在此也給出有關(guān)電路建模的補(bǔ)充提示: 電路圖標(biāo)都是固定尺寸,通過電路建模程序的“Center WP”選項(xiàng)可以設(shè)置圖形的焦點(diǎn)和距離(Main MenuPreprocessor-Modeling-CreateCi

10、rcuitCenter WP)。對(duì)電路圖標(biāo)進(jìn)行放縮或者改變電路布線的寬度,使用電路建模程序的Scale Icon 選項(xiàng)(Main MenuPreprocessor-Modeling-CreateCircuitScale Icon.)。 可以考慮顯示兩個(gè)窗口:一個(gè)是電路,另一個(gè)為所建模型 記住要將電路中的一個(gè)節(jié)點(diǎn)接地(通過GUI:Main MenuPreprocessor Loads - Loads -Apply -Electric-Boundary-Voltage-On Nodes,或者用D命令)。建模步驟如下:1點(diǎn)取菜單路徑Main MenuPreferences,選項(xiàng)對(duì)話框出現(xiàn)。2如果打算

11、做電路電磁耦合分析,選取“Electromagnetic”。如果僅僅只做電路分析,則選取“Electric”。3點(diǎn)取Utility MenuFileChange Jobname.對(duì)話框出現(xiàn),為你的分析定義工作名,然后單擊OK按鈕。4點(diǎn)取Utility MenuFileChange Title,在對(duì)話框內(nèi)為你的分析規(guī)定一個(gè)標(biāo)題名,然后單擊OK。5點(diǎn)取Main MenuPreprocessorCreateCircuit,出現(xiàn)電路建模菜單。6如果需要把電路的放置遠(yuǎn)離目前的有限元模型(例如耦合電磁電路分析),則在實(shí)用命令菜單中,使用工作平面(WorkPlane)選項(xiàng),把工作平面原點(diǎn)移動(dòng)到要開始建立電路

12、模型的位置(否則,則跳過此步)。電路的位置可以是任意的,且不影響分析結(jié)果。為了方便起見,可使用Main MenuPreprocessor-Modeling-CreateCircuitCenter WP來使工作平面原點(diǎn)處于圖形窗口的中心。7從電路建模菜單中選擇所需電路元件且遵照ANSYS輸入窗口中的提示來建立模型。通常是先用鼠標(biāo)確定單元的I和J節(jié)點(diǎn)的位置,然后選取IJ線的一個(gè)偏置位置來為電路元件定位。每種電路單元的長度和相對(duì)于其他電路單元位置可以是任意的,且不影響分析結(jié)果。一旦已定義好全部所需位置,將彈出一個(gè)對(duì)話框,要求輸入ID號(hào)(單元號(hào))和實(shí)常數(shù)。如果單元的圖標(biāo)尺寸太小,或電線太細(xì),可通過Ma

13、in MenuPreprocessor - Modeling -CreateCircuitScale Icon來調(diào)整圖標(biāo)顯示8建立好電路后,如果必要的話,可以驗(yàn)證和修改數(shù)據(jù)。Plot Waveform菜單用于繪圖和驗(yàn)證輸入負(fù)載的波形。另一個(gè)菜單,Edit Real Cnst,用于校核和修改任何電路元件的實(shí)常數(shù)。刪除特定的電路元件的方式是Main MenuPreprocessorDelete。電路建模程序是建立電路模型的最方便的方法,也可以不用該程序,通過直接定義節(jié)點(diǎn)、單元類型、單元和實(shí)常數(shù)來建立模型。一旦你建好電路,你可以進(jìn)行靜態(tài)、諧波或瞬態(tài)分析(源項(xiàng)確定了分析類型)16.5 避免電路不合理應(yīng)

14、該避免建立不合理電路,下面敘述不合理電路的情況:16.5.1 DC(直流)和諧波分析16.5.1.1電壓源不要構(gòu)成一個(gè)回路在圖3中,根據(jù)Kirchoff(克希荷夫)回路方程,節(jié)點(diǎn)1和2之間電壓會(huì)是多少?V1和V2不相等,電勢(shì)不合理。注意到在右圖中電壓發(fā)生器形成一個(gè)回路,即使電壓V1和V2一致,也會(huì)導(dǎo)致數(shù)值求解錯(cuò)誤。圖4圖5是更復(fù)雜的不合理電路:16.5.1.2電流源不要形成短路在左下圖6中,檢查節(jié)點(diǎn)1的 Kirchoff(克希荷夫)節(jié)點(diǎn)方程,怎么平衡?如果I1I2,則平衡不為零,電流不合理。即使I1I2,數(shù)值求解也會(huì)錯(cuò)誤。右下圖7所示的電路更為復(fù)雜。這里,電流源沒有公共節(jié)點(diǎn),但在圖中所示的“超

15、節(jié)點(diǎn)”上合乎Kirchoff節(jié)點(diǎn)定律?!俺?jié)點(diǎn)”稱為短路。不能形成短路,即,不允許建立只有流入電流的超節(jié)點(diǎn)。16.5.2 瞬態(tài)分析16.5.2.1電容和電壓源不要形成回路在瞬態(tài)分析中,當(dāng)t=0時(shí),電容就相當(dāng)于一個(gè)電壓源,其電壓為電容的起始電壓,如右圖圖8所示。在右下圖9中,當(dāng)開關(guān)剛閉合時(shí),左側(cè)電路的起始電流分布能用右側(cè)的等效電路來計(jì)算。這是一個(gè)不合理電路(會(huì)產(chǎn)生無窮大電流),因?yàn)殡妷涸闯闪嘶芈?,不滿足DC/AC電路中電壓源不能形成回路的要求。16.5.2.2 電感和電流源不應(yīng)短路在瞬態(tài)分析中,當(dāng)t=0時(shí),一個(gè)電感就相當(dāng)于是一個(gè)電流源,其電流為賦予的初始電流,如下面圖10所示。在圖11中,當(dāng)開關(guān)

16、剛閉合時(shí),左側(cè)電路的起始電壓分布可以用右側(cè)的等效電路來計(jì)算。這是一個(gè)不合理電路(會(huì)產(chǎn)生無窮大電壓),因?yàn)殡娏靼l(fā)生器形成一個(gè)了短路。這些電路是矛盾的。如果用戶不申明,ANSYS不會(huì)自動(dòng)檢測(cè)出這些矛盾。16.6 靜態(tài)(直流)電路分析靜態(tài)(DC)電路分析用以確定一個(gè)受外加直流源電壓或直流源電流的電路中的電壓和電流分布,靜態(tài)電路分析支持所有的電路元件。16.6.1 建立靜態(tài)電路分析模型在一個(gè)靜態(tài)分析中,ANSYS程序把電容當(dāng)作開路處理,把電感當(dāng)作短路處理??梢杂靡粋€(gè)小電阻表示短路,但正確地表示短路條件的方式是耦合電感器兩個(gè)節(jié)點(diǎn)的電壓自由度:命令:CPGUI:Main MenuPreprocessorC

17、oupling/Ceqn/Couple DOFs一旦建好電路模型,就可以加載和求解,然后觀察結(jié)果。16.6.2 加載和求解此步定義分析類型和選項(xiàng)、加載和開始有限元求解。步驟如下:16.6.2.1進(jìn)入求解器命令:/SOLUGUI:Main MenuSolution16.6.2.2定義分析類型在GUI方式中,點(diǎn)取菜單路徑Main MenuSolutionNew Analysis,并選擇靜態(tài)分析如果這是一個(gè)新分析,發(fā)命令A(yù)NTYPE,STATIC,NEW重啟動(dòng)分析通常只使用于瞬態(tài)分析中。16.6.2.3在模型上加載通常,在電路建模程序中用單元實(shí)常數(shù)為電路定義源載荷,除了源載荷以外,其它“負(fù)載”只有接

18、地節(jié)點(diǎn)特性VOLT=0,用下列方法之一定義VOLT=0:命令:DGUI:Main MenuSolutionLoads-Apply-Electric-Boundary-Votage-On Nodes使用下列方法之一,可以修改源載荷:命令:R,RMODIFGUI:Main MenuSolutionOtherChange RealConstRMODIF命令沒有相應(yīng)的圖形用戶界面菜單的。16.6.2.4備份數(shù)據(jù)庫可以使用SAVE命令或工具條上的SAVE_DB按鈕來存儲(chǔ)ANSYS數(shù)據(jù)庫備份。16.6.2.5 開始求解命令:SOLVEGUI:Main MenuSolutionCurrent LS16.6.

19、2.6 結(jié)束求解命令:FINISHGUI:Main MenuFinish16.6.3 觀察靜態(tài)電路分析的結(jié)果ANSYS程序把靜態(tài)(直流)電路分析的結(jié)果寫入結(jié)果文件Jobname.RTH中,結(jié)果中有兩種類型的計(jì)算數(shù)據(jù):節(jié)點(diǎn)電壓(VOLT)和節(jié)點(diǎn)電流(CURR),另外,還可以得到每個(gè)單元的如下導(dǎo)出數(shù)據(jù):單元電壓降(VOLTAGE)單元電流(CURRENT)單元控制電壓(CONTROL VOLT)單元控制電流(CONTROL CURR)單元能量(POWER)單元加載(SOURCE)關(guān)于導(dǎo)出結(jié)果的詳細(xì)信息,可參見ANSYS單元手冊(cè)。進(jìn)入通用后處理器中:命令:/POST1GUI;Main MenuGen

20、eral Postproc用下列方法之一,把結(jié)果文件中的數(shù)據(jù)讀入數(shù)據(jù)庫:命令:SETGUI:Utility MenuListResultsLoad Step Summary列表顯示節(jié)點(diǎn)計(jì)算數(shù)據(jù)(電壓和電流):命令:PRNSOLGUI:Main MenuGeneral PostprocList ResultsNodal Solution列表顯示單元導(dǎo)出數(shù)據(jù):命令:PRESOLGUI:Main MenuGeneral PostprocList ResultsElement Solution16.7 諧波(交流)電路分析諧波(交流)電路分析用以確定一個(gè)加載外部交流電壓或交流電流的電路中的電壓和電流分

21、布。諧波電路分析可以分析所有的電路元器件。16.7.1 建立諧波電路分析模型見前面“使用電路建模程序”一節(jié)。16.7.2 加載和求解此步定義分析類型和選項(xiàng)、加載和開始有限元求解。步驟如下:16.7.2.1 進(jìn)入求解器命令:/SOLUGUI:Main MenuSolution16.7.2.2 定義分析類型在GUI方式中,點(diǎn)取菜單路徑Main MenuSolutionNew Analysis,并選擇Harmonic選項(xiàng)。如果這是一個(gè)新分析,發(fā)命令A(yù)NTYPE,HARMIC,NEW16.7.2.3 選擇方程求解器命令:EQSLVGUI:Main MenuPreprocessorLoadsAnalys

22、is Options使用CIRCU124單元進(jìn)行分析時(shí),只能使用波前求解器(Frontal,缺省)或稀疏矩陣求解器(Sparse)來進(jìn)行求解計(jì)算。16.7.2.4 確定求解數(shù)據(jù)的列表顯示格式需要確定在打印輸出文件Jobname.OUT中列表顯示的諧波電壓和電流的顯示方式,可以選擇以實(shí)部和虛部(缺?。┑男问交蛞哉穹拖辔唤堑男问?,方式如下:命令:HROUTGUI;Main MenuSolutionAnalysis Options16.7.2.5 在模型上加載通常,在電路建模程序中用單元實(shí)常數(shù)為電路定義源載荷,除了源載荷以外,其它“負(fù)載”只有接地節(jié)點(diǎn)特性VOLT=0,用下列方法之一定義VOLT=0

23、:命令:DGUI:Main MenuSolutionApplyPotentialOn Nodes使用下列方法之一,可以修改源載荷:命令:R,RMODIFGUI:Main MenuSolutionOtherChange RealConstRMODIF命令是沒有相應(yīng)的圖形用戶界面菜單的。16.7.2.6 定義載荷步選項(xiàng)定義諧波分析的工作頻率(HZ)范圍:命令:HARFRQGUI:Main MenuSolutionLoad Step Opts-Time/FrequencFreg and Substps唯一能定義的通用選項(xiàng)為諧波求解數(shù)。諧波求解數(shù)可以是任意的,且這些數(shù)(子步數(shù))在規(guī)定的工作頻率范圍內(nèi)均

24、勻分布。例如,如果在50到60HZ頻率范圍內(nèi),定義了10次求解,ANSYS程序?qū)⒂?jì)算的頻率值為51,52,53,59和60HZ, ANSYS程序不對(duì)頻率范圍的下限值(此處為50)做計(jì)算。定義諧波求解數(shù)的方式如下:命令:NSUBSTGUI:Main MenuSolution-Load Step Opts-Time/FrequencFreg and Substps16.7.2.7 備份數(shù)據(jù)庫可以使用SAVE命令或工具條上的SAVE_DB按鈕來存儲(chǔ)ANSYS數(shù)據(jù)庫備份。16.7.2.8 開始求解命令:SOLVEGUI:Main MenuSolutionCurrent LS16.7.2.9 進(jìn)行另外的

25、加載如果需要計(jì)算其他加載情況,重復(fù)4步到6步。16.7.2.10結(jié)束求解命令:FINISHGUI:Main MenuFinish16.7.3 觀察諧波電路分析的結(jié)果ANSYS程序把諧波電路分析的結(jié)果寫入結(jié)果文件Jobname.RTH中。其結(jié)果與輸入源載荷不同相(即它們滯后于輸入源載荷),因而它們是復(fù)數(shù)形式的,結(jié)果的計(jì)算和存儲(chǔ)都是以實(shí)部和虛部分量的形式進(jìn)行的。計(jì)算結(jié)果有兩種數(shù)據(jù):節(jié)點(diǎn)電壓(VOLT)和節(jié)點(diǎn)電流(CURR)。諧波電路分析的導(dǎo)出數(shù)據(jù)與靜態(tài)電路分析相同,且用相同的步驟觀察結(jié)果。對(duì)于諧波分析,也可以在單元表內(nèi)存儲(chǔ)每個(gè)單元的結(jié)果并作相應(yīng)的顯示:命令:PRETABGUI:Main MenuG

26、eneral PostprocList ResultsElem Table Data16.8 瞬態(tài)電路分析瞬態(tài)電路分析是分析受到隨時(shí)間變化的源電壓或源電流作用的電路,該分析用于確定在電路中與時(shí)間成函數(shù)關(guān)系的電壓和電流,瞬態(tài)電路分析可以分析所有的電路元器件。16.8.1 建立瞬態(tài)電路分析模型與本章前面“電路建模程序”一節(jié)中描述的過程一致。在電路建模程序中,需要以實(shí)常數(shù)的方式定義如下載荷:獨(dú)立電流源和獨(dú)立電壓源的源載荷初始條件,如電感的初始電流、電容的初始電荷波形式的載荷,可以是正弦、脈沖、指數(shù)或分段線性的載荷(詳見ANSYS單元手冊(cè)對(duì)CIRCU124單元描述)一旦建立好電路模型,就可以加載、求解

27、、并觀察結(jié)果,做瞬態(tài)電路分析時(shí),應(yīng)注意如下幾點(diǎn):瞬態(tài)電路分析不能使用ANSYS的自動(dòng)時(shí)間步特性。但可以用此功能來斜坡處理時(shí)間步(每次時(shí)間步增加3倍,直到達(dá)到最大時(shí)間點(diǎn))在瞬態(tài)求解過程中,可以改變實(shí)常數(shù),但只有在重啟動(dòng)分析中這樣做才能得到精確的結(jié)果,通常可通過該功能來模擬帶電阻的開關(guān)。對(duì)于處理瞬態(tài)分析的結(jié)果,ANSYS GUI專門有一部分用于電路單元,它可以處理節(jié)點(diǎn)電壓和電流,以及特定的單元結(jié)果。16.8.2 加載和求解此步定義分析類型和選項(xiàng)、加載和開始有限元求解。步驟如下:16.8.2.1 進(jìn)入求解器命令:/SOLUGUI:Main MenuSolution16.8.2.2 定義分析類型在GU

28、I方式中,點(diǎn)取菜單路徑Main MenuSolutionNew Analysis,并選擇Transient選項(xiàng)如果這是一個(gè)新分析,發(fā)命令A(yù)NTYPE,TRANSIENT,NEW可以在前面已經(jīng)完成了一個(gè)瞬態(tài)分析的基礎(chǔ)上重啟動(dòng)一個(gè)瞬態(tài)分析,并且可在重啟動(dòng)時(shí)改變單元實(shí)常數(shù),重啟動(dòng)分析的前提條件是前一次分析的Jobname.EMAT、Jobname.ESAV和Jobname.DB文件都還存在。16.8.2.3 選擇方程求解器命令:EQSLVGUI:Main MenuPreprocessorLoadsAnalysis Options使用CIRCU124單元進(jìn)行分析時(shí),只能使用波前求解器(Frontal,

29、缺省)或稀疏矩陣求解器(Sparse)來進(jìn)行求解計(jì)算。16.8.2.4 在模型上加載通常,在電路建模程序中用單元實(shí)常數(shù)為電路定義源載荷,除了源載荷以外,其它“負(fù)載”只有接地節(jié)點(diǎn)特性VOLT=0,用下列方法之一定義VOLT=0:命令:DMain MenuSolutionApplyPotentialOn Nodes使用下列方法之一,可以修改源載荷:命令:R,RMODIFGUI:Main MenuSolutionOtherChange RealConstRMODIF命令是沒有相應(yīng)的圖形用戶界面菜單的。16.8.2.5 定義載荷步選項(xiàng)為了在分析中包含瞬態(tài)效應(yīng),則必須打開時(shí)間積分效應(yīng),否則將執(zhí)行靜態(tài)解。

30、在瞬態(tài)分析中,缺省為時(shí)間積分效應(yīng)打開,但可以關(guān)閉它們已獲得靜態(tài)解。打開或關(guān)閉時(shí)間積分效應(yīng)的方式如下:命令:TIMINTGUI:Main MenuSolutionTime /FrequencTime Integration16.8.2.5.1通用選項(xiàng)可以定義瞬態(tài)分析的三種通用選項(xiàng):時(shí)間、積分時(shí)間步長和自動(dòng)時(shí)間步長功能定義載荷步終止時(shí)間的方式如下:命令:TIMEGUI:Main MenuSolution-Load Step Opts-Time/FrequencTime and SubstpsMain MenuSolution-Load Step Opts-Time/FrequencTime-Tim

31、e Step積分時(shí)間步長是時(shí)間積分方案所用的時(shí)間增量,時(shí)間步長的大小直接影響求解精度,較小值有較高的精度。確定時(shí)間步長的方式如下:命令:DELTIMGUI:Main MenuSolution-Load Step Opts-Time/FrequencTime-Time Step在上述命令中,用DTIME確定起始時(shí)間步長,DTMIN確定最小時(shí)間步長, DTMAX確定最大時(shí)間步長。雖然在電路分析中不能使用自動(dòng)時(shí)間長功能來自動(dòng)地增減時(shí)間步長,但可以用它來按每步時(shí)間增加3倍的方式從起始時(shí)間步長到最后時(shí)間步長自動(dòng)進(jìn)行分步求解。打開自動(dòng)時(shí)間步長功能的方式如下:命令:AUTOTSGUI:Main MenuSo

32、lutionLoad Step Opts-Time/FrequencTime and SubstpsMain MenuSolutionLoad Step Opts-Time/FrequencTime-Time Step當(dāng)源載荷波型存在尖銳變化時(shí),應(yīng)把一個(gè)瞬態(tài)分析分成幾個(gè)載荷步來進(jìn)行求解。在這些尖銳過渡時(shí)間點(diǎn)處,應(yīng)定義一個(gè)新的載荷步并在有必要的情況下重新定義時(shí)間步選項(xiàng),以便在過渡點(diǎn)處取得一個(gè)較小的初始時(shí)間步長。16.8.2.5.2 輸出控制可以在打印輸出文件(Jobname.OUT)中包含任何結(jié)果數(shù)據(jù)。用下面方法控制這種結(jié)果的輸出:命令:OUTPRGUI:Main MenuSolutionOut

33、put CtrlsSolu Printout在缺省設(shè)置下,打印輸出的是概總信息。控制寫入結(jié)果文件(Jobname.RTH)的數(shù)據(jù)的方式如下:命令:OUTRESGUI:Main MenuSolutionOutput CtrlsDB/Results File注意:在缺省設(shè)置下,ANSYS程序只把每個(gè)載荷步最后子步的結(jié)果寫入結(jié)果文件,如果要把全部子步的結(jié)果都寫入結(jié)果文件內(nèi),則應(yīng)把FREQ設(shè)置為ALL或1。16.8.2.6 建立載荷步文件必須把每個(gè)載荷步寫到載荷文件中。重復(fù)進(jìn)行上面的加載、定義載荷步選項(xiàng)和寫載荷步文件的操作,直到全部載荷步被定義完為止。寫載荷步文件的方式如下:命令:LSWRITEGUI

34、:Main MenuSolutionWrite LS File16.8.2.7 保存數(shù)據(jù)庫文件用SAVE命令或者用工具條上SAVE_DB按鈕保存ANSYS數(shù)據(jù)庫備份16.8.2.8 開始求解命令:LSSOLVEGUI:Main MenuSolutionFrom LS Files16.8.2.9 結(jié)束求解命令:FINISHGUI:Main MenuFinish16.8.3 觀察瞬態(tài)電路分析的結(jié)果ANSYS程序把靜態(tài)(直流)電路分析的結(jié)果寫入結(jié)果文件Jobname.RTH中,結(jié)果中有兩種類型的計(jì)算數(shù)據(jù):節(jié)點(diǎn)電壓(VOLT)和節(jié)點(diǎn)電流(CURR),另外,還可以得到每個(gè)單元的如下導(dǎo)出數(shù)據(jù):單元電壓降(

35、VOLTAGE)單元電流(CURRENT)單元控制電壓(CONTROL VOLT)單元控制電流(CONTROL CURR)單元能量(POWER)單元載荷(SOURCE)關(guān)于導(dǎo)出結(jié)果的詳細(xì)信息,可參見ANSYS單元手冊(cè)??梢酝ㄓ煤筇幚砥髟赑OST1,或者時(shí)間厲程后處理器POST26中觀察分析結(jié)果。POST1允許在特定時(shí)間點(diǎn)觀察整個(gè)模型的結(jié)果,POST26允許在模型的特定點(diǎn)觀察在整個(gè)瞬態(tài)時(shí)間內(nèi)的結(jié)果。16.8.3.1使用POST26命令:/POST26GUI:Main MenuTime Hist Postpro把結(jié)果文件數(shù)據(jù)讀入數(shù)據(jù)庫:命令:SETGUI:Utility MenuListResul

36、tsLoad Step SummaryPOST26基于結(jié)果表進(jìn)行工作,結(jié)果表也稱為“變量”,它是時(shí)間的函數(shù)。每個(gè)變量要賦予一個(gè)參考號(hào)數(shù),變量數(shù)1保存的是時(shí)間。定義變量的方式如下:為計(jì)算數(shù)據(jù)定義變量:命令:NSOLGUI:Main MenuTime Hist PostproElec&MagCircuitDefine Variables為單元數(shù)據(jù)(推導(dǎo)數(shù)據(jù))定義變量:命令:ESOLGUI:Main MenuTime Hist PostproElec&MagCircuitDefine Variables為反應(yīng)數(shù)據(jù)定義變量:命令:RFORCEGUI:Main MenuTime Hist Postpro

37、Elec&MagCircuitDefine Variables一旦已定義好變量,就可以把它們與時(shí)間的關(guān)系或與其它變量的關(guān)系以圖形的方式繪制出來,方式如下:命令:PLVARGUI:Main MenuTime Hist PostproGraph Variables使用下列方法之一能列出變量的極限值:命令:EXTREMGUI:Main MenuTime Hist PostproList Extremes通過時(shí)間歷程曲線圖可以找出對(duì)整個(gè)模型有重要意義的時(shí)間點(diǎn),然后在該關(guān)鍵時(shí)刻點(diǎn)處就可以用POST1作進(jìn)一步的后處理。16.8.3.2使用POST1使用POST1的第一步是讀取將要觀察的時(shí)刻處的結(jié)果數(shù)據(jù)。為

38、此,利用SET命令中的TIME或它的等效菜單路徑(Utility MenuListResultsLoad Step Summary)來讀取結(jié)果。如果在規(guī)定的時(shí)刻并沒有現(xiàn)存的結(jié)果可以利用,ANSYS會(huì)做線性插值計(jì)算以得到該時(shí)刻的結(jié)果。如果所定義的時(shí)間超過最大的瞬態(tài)計(jì)算時(shí)間,ANSYS會(huì)使用最后一個(gè)時(shí)間點(diǎn)的數(shù)據(jù)代替(也可以用它們的載荷步和子步數(shù)來讀取結(jié)果)。利用下面的方法之一,列出節(jié)點(diǎn)計(jì)算數(shù)據(jù)(電壓和電流):命令:PRNSOLGUI:Main MenuGeneral PostproList ResultsNodal Solution利用下面的方法之一,列出單元導(dǎo)出結(jié)果:命令:PRNSOLGUI:M

39、ain MenuGeneral PostproList ResultsElement Solution16.9 諧波電路分析算例(命令流)該電路由兩個(gè)電阻、一個(gè)電感、一個(gè)獨(dú)立電壓源、一個(gè)獨(dú)立電流源、和一個(gè)電流控制電流源構(gòu)成,如圖所示,要確定電路中第4個(gè)節(jié)點(diǎn)處的電壓。該算例的命令流如下:/BATCH,LIST/PREP7/TITLE, AC CIRCUIT ANALYSIS/COM,SEE SCHAUMSOUTLINE BASIC CIRCUIT ANALYSIS, 2ND ED, 1992,/NOPRET,1,CIRCU124,4! VOLTAGE SOURCEET,2,CIRCU124,3!

40、 CURRENT SOURCEET,3,CIRCU124,0! RESISTOR ET,4,CIRCU124,1! INDUCTORET,5,CIRCU124,12! CURRENT CONTROLLED CURRENT SOURCER,1,15,30! VOLTAGE SOURCER,2,5,-45! CURRENT SOURCER,3,3! R1R,4,2! R2R,5,4! L1R,6,-3! CCCS GAINN,1NGEN,10,1,1,1,1TYPE,1REAL,1E,2,1,7! V1TYPE,3REAL,3E,2,3! R1TYPE,4REAL,5E,3,1! L1TYPE,3REAL,4E,3,4! R2TYPE,5REAL,6E,3,4,5,2,1,7! CCCSTYPE,2R

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論